<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁 >> 主題列表 >> 數(shù)字方法

          一種對(duì)心音信號(hào)進(jìn)行有效處理的的數(shù)字方法

          • 一種對(duì)心音信號(hào)進(jìn)行有效處理的的數(shù)字方法,一、引言心臟聽診是體檢的重要部分,心臟雜音發(fā)生的時(shí)期對(duì)臨床診斷具有重要價(jià)值,例如心臟收縮期中較輕的雜音一般是生理性的,而舒張期的雜音多為病理性的。在心臟聽診時(shí)必須能夠準(zhǔn)確地區(qū)分第一、第二心音并辨認(rèn)出雜
          • 關(guān)鍵字: 心音信號(hào)  數(shù)字方法    

          電源管理的模擬和數(shù)字方法

          • 數(shù)字與模擬的長(zhǎng)期爭(zhēng)論最近已擴(kuò)展到電源領(lǐng)域,引起模擬領(lǐng)域的關(guān)注和響應(yīng)。數(shù)字仍然在流行,但現(xiàn)實(shí)世界是模擬...
          • 關(guān)鍵字: 電源管理  模擬  數(shù)字方法  

          FPGA的多路可控脈沖延遲系統(tǒng)

          • 摘要 采用數(shù)字方法和模擬方法設(shè)計(jì)了一種最大分辨率為0.15 ns級(jí)的多路脈沖延遲系統(tǒng),可以實(shí)現(xiàn)對(duì)連續(xù)脈沖信號(hào)的高分辨率可控延遲;采用Flash FPGA克服了現(xiàn)有SRAM FPGA系統(tǒng)掉電后程序丟失的缺點(diǎn),提高了系統(tǒng)反應(yīng)速度。本系統(tǒng)適用于需要將輸入脈沖信號(hào)進(jìn)行精確延遲來產(chǎn)生測(cè)試或控制用的連續(xù)脈沖信號(hào)場(chǎng)合,具有很強(qiáng)的適用性。 關(guān)鍵詞  數(shù)字方法  模擬方法  分辨率  脈沖延遲  ProASIC3   在科學(xué)研究、通信和一些自動(dòng)控制中,經(jīng)常需要精確定時(shí)的
          • 關(guān)鍵字: FPGA  數(shù)字方法  模擬方法  分辨率  脈沖延遲  ProASIC3  
          共3條 1/1 1

          數(shù)字方法介紹

          您好,目前還沒有人創(chuàng)建詞條數(shù)字方法!
          歡迎您創(chuàng)建該詞條,闡述對(duì)數(shù)字方法的理解,并與今后在此搜索數(shù)字方法的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();