<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> 觸發(fā)器

          基本RS觸發(fā)器

          •   RS觸發(fā)器是構(gòu)成其它各種功能觸發(fā)器的基本組成部分,故又稱為基本RS觸發(fā)器。它是一種直接置位的復(fù)位的觸發(fā)器。 1.基本RS觸發(fā)器的組成   基本RS觸發(fā)器主要是由兩個(gè)與非門交叉耦合構(gòu)成,如圖1(a)所示,圖1(b)為邏輯符號(hào)。
          • 關(guān)鍵字: 觸發(fā)器  邏輯電路  

          觸發(fā)器的特點(diǎn)和類型

          • 1.觸發(fā)器的特點(diǎn)   觸發(fā)器具有兩個(gè)穩(wěn)定的狀態(tài),在外加信號(hào)的觸發(fā)下,可以從一個(gè)穩(wěn)態(tài)翻轉(zhuǎn)為另一穩(wěn)態(tài)。這一新的狀態(tài)在觸發(fā)信號(hào)去掉后,仍然保持著,一直保留到下一次觸發(fā)信號(hào)來(lái)到為止,這就是觸發(fā)器的記憶作用,它可以記憶或存儲(chǔ)兩個(gè)信息——“0”或“1”。   觸發(fā)器,以及由觸發(fā)器和門電路組成的時(shí)序邏輯電路,如計(jì)數(shù)器、移位寄存器等,也都有一個(gè)共同的工作特點(diǎn),這就是:電路的輸出,不僅和當(dāng)前的輸入信號(hào)有關(guān),還和電路原來(lái)的狀態(tài)有關(guān)。因此,它們都具有記憶功能。   觸發(fā)器由門電路構(gòu)成,它有一個(gè)或多個(gè)輸入端,有兩個(gè)互補(bǔ)輸出
          • 關(guān)鍵字: 觸發(fā)器  邏輯電路  

          多時(shí)鐘域數(shù)據(jù)傳遞的FPGA實(shí)現(xiàn)

          •   隨著EDA技術(shù)的發(fā)展,由于其在電子系統(tǒng)設(shè)計(jì)領(lǐng)域中的明顯優(yōu)勢(shì),F(xiàn)PGA已經(jīng)在許多方面得到了廣泛應(yīng)用,特別是在無(wú)線通信領(lǐng)域,F(xiàn)PGA以其極強(qiáng)的實(shí)時(shí)性,指令軟件編程的極大靈活性贏得了巨大的市場(chǎng)。本文采用FPGA來(lái)設(shè)計(jì)一款廣泛應(yīng)用于計(jì)算機(jī)、Modem、數(shù)據(jù)終端以及許多其他數(shù)字設(shè)備之間的數(shù)據(jù)傳輸?shù)膶S卯惒讲⑿型ㄐ沤涌谛酒?,?shí)現(xiàn)了某一時(shí)鐘域(如66 MHz)的8位并行數(shù)據(jù)到另一低時(shí)鐘域(如40 MHz)16位并行數(shù)據(jù)的異步轉(zhuǎn)換,并且客戶可以根據(jù)自己的要求進(jìn)行數(shù)據(jù)定義。完成數(shù)據(jù)在不同時(shí)鐘域間的正確傳遞的同時(shí)防止亞穩(wěn)
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  FPGA  數(shù)字電路  觸發(fā)器  MCU和嵌入式微處理器  數(shù)據(jù)采集  

          基于TCA785移相觸發(fā)器的中頻感應(yīng)加熱電源

          • 筆者開(kāi)發(fā)研制出一種基于TCA785芯片的三相全控橋整流電路作為中頻電源,其主電路原理如圖1所示,在現(xiàn)場(chǎng)使用中收到了良好的效果。
          • 關(guān)鍵字: 加熱  電源  感應(yīng)  中頻  TCA785  觸發(fā)器  基于  

          數(shù)據(jù)庫(kù)觸發(fā)器機(jī)制的設(shè)計(jì)與實(shí)現(xiàn)

          • 摘 要:根據(jù)當(dāng)前數(shù)據(jù)庫(kù)應(yīng)用需求和技術(shù)發(fā)展現(xiàn)狀,研究了數(shù)據(jù)庫(kù)管理系統(tǒng)觸發(fā)器機(jī)制實(shí)現(xiàn)的關(guān)鍵技術(shù)問(wèn)題,并以GKD-Base為原型,在已有的GKD-Base PL/SQL引擎基礎(chǔ)上實(shí)現(xiàn)了數(shù)據(jù)庫(kù)的觸發(fā)器功能。  關(guān)鍵詞:PL/SQL引擎 Rete網(wǎng)絡(luò) 雙Hash結(jié)構(gòu) 觸發(fā)器  數(shù)據(jù)庫(kù)管理系統(tǒng)作為信息系統(tǒng)的核心部件,在信息化時(shí)代所充當(dāng)?shù)慕巧瞧渌魏诬浖荒芴娲?。?dāng)前數(shù)據(jù)庫(kù)應(yīng)用的一個(gè)普遍要求是數(shù)據(jù)庫(kù)管理系統(tǒng)能夠在一些數(shù)據(jù)庫(kù)相關(guān)事件發(fā)生時(shí)觸發(fā)預(yù)先定義的操作
          • 關(guān)鍵字: PL/SQL引擎  Rete網(wǎng)絡(luò)  觸發(fā)器  雙Hash結(jié)構(gòu)  通訊  網(wǎng)絡(luò)  無(wú)線  
          共110條 8/8 |‹ « 1 2 3 4 5 6 7 8

          觸發(fā)器介紹

          程序設(shè)計(jì)領(lǐng)域名詞 觸發(fā)器(trigger)是個(gè)特殊的存儲(chǔ)過(guò)程,它的執(zhí)行不是由程序調(diào)用,也不是手工啟動(dòng),而是由個(gè)事件來(lái)觸發(fā),比如當(dāng)對(duì)一個(gè)表進(jìn)行操作( insert,delete, update)時(shí)就會(huì)激活它執(zhí)行。觸發(fā)器經(jīng)常用于加強(qiáng)數(shù)據(jù)的完整性約束和業(yè)務(wù)規(guī)則等。 觸發(fā)器可以從 DBA_TRIGGERS ,USER_TRIGGERS 數(shù)據(jù)字典中查到。 觸發(fā)器可以查詢其他表,而且可 [ 查看詳細(xì) ]

          熱門主題

          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();