<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> systemc

          系統(tǒng)級語言SystemVerilog和SystemC的融合

          • SystemVerilog和SystemC不久前依然被視為相互排斥的兩種環(huán)境,而現(xiàn)在可以相互協(xié)作,并為實(shí)現(xiàn)設(shè)計(jì)和驗(yàn)證方法提供平滑流暢的系統(tǒng)。
          • 關(guān)鍵字: SystemVerilog  SystemC  驗(yàn)證  系統(tǒng)級  

          基于SystemC的通用嵌入式存儲器模型設(shè)計(jì)

          •   1引言   建立芯片模型是在早期進(jìn)行芯片架構(gòu)決策的有效方法,通過建模不僅可以對芯片的性能做出分析,還可以在硬件沒有完成之前開發(fā)軟件,不僅提高了產(chǎn)品成功率,而且縮短了研發(fā)周期。設(shè)計(jì)人員早期采用C/C++語言進(jìn)行硬件建模。但是隨著軟硬件復(fù)雜度的提高,C/C++語言難以再滿足要求。OSCI適時(shí)推出了SystemC語言來適應(yīng)新的需求。如今SystemC已經(jīng)被廣泛應(yīng)用于SoC軟硬件建模中。   目前大部分SystemC建模方面的文獻(xiàn)是作者對自己所設(shè)計(jì)芯片整體模型的描述,這種針對特定芯片設(shè)計(jì)的文獻(xiàn)雖然都有參考
          • 關(guān)鍵字: SystemC  存儲器  

          Cadence宣布并購Forte Design Systems

          • 全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS)日前宣布,已經(jīng)達(dá)成了一項(xiàng)最終協(xié)議,收購以SystemC為基礎(chǔ)的高階綜合(HLS)與算法IP供應(yīng)商Forte Design Systems。
          • 關(guān)鍵字: Cadence  SystemC  RTL  

          基于SystemC描述的嵌入式系統(tǒng)的自動化驗(yàn)證

          基于SystemC 的系統(tǒng)驗(yàn)證研究和應(yīng)用

          • 基于SystemC 的系統(tǒng)驗(yàn)證研究和應(yīng)用,摘要 : 視頻編解碼芯片中運(yùn)動估計(jì)與補(bǔ)償單元(MECU)的算法復(fù)雜,使用傳統(tǒng)硬件描述語言建立模型和模型驗(yàn)證的過程繁瑣耗時(shí),為了縮短芯片驗(yàn)證時(shí)間,本文針對MECU 模塊提出了基于SySTemC 語言的具體系統(tǒng)級驗(yàn)證流程。在整
          • 關(guān)鍵字: 研究  應(yīng)用  驗(yàn)證  系統(tǒng)  SystemC  基于  

          利用基于SystemC/TLM的方法學(xué)進(jìn)行IP開發(fā)和FPGA建模

          • 隨著系統(tǒng)級芯片技術(shù)的出現(xiàn),設(shè)計(jì)規(guī)模正變得越來越大,因而變得非常復(fù)雜,同時(shí)上市時(shí)間也變得更加苛刻。通常RTL已經(jīng)不足以擔(dān)當(dāng)這一新的角色。上述這些因素正驅(qū)使設(shè)計(jì)師開發(fā)新的方法學(xué),用于復(fù)雜IP(硬件和軟件)以及復(fù)雜
          • 關(guān)鍵字: SystemC  FPGA  TLM  IP開發(fā)    

          基于SystemC/TLM方法學(xué)的IP開發(fā)及FPGA建模

          • 隨著系統(tǒng)級芯片技術(shù)的出現(xiàn),設(shè)計(jì)規(guī)模正變得越來越大,因而變得非常復(fù)雜,同時(shí)上市時(shí)間也變得更加苛刻。通常RT...
          • 關(guān)鍵字: SystemC  TLM  IP開發(fā)  FPGA  建模  

          基于SystemC的系統(tǒng)級芯片設(shè)計(jì)方法研究

          •   隨著集成電路制造技術(shù)的迅速發(fā)展,SOC設(shè)計(jì)已經(jīng)成為當(dāng)今集成電路設(shè)計(jì)的發(fā)展方向。SO C設(shè)計(jì)的復(fù)雜性對集成電路設(shè)計(jì)的各個(gè)層次,特別是對系統(tǒng)級芯片設(shè)計(jì)層次,帶來了新挑戰(zhàn),原有的HDL難以滿足新的設(shè)計(jì)要求。   硬件設(shè)計(jì)領(lǐng)域有2種主要的設(shè)計(jì)語言:VHDL和Verilog HDL。而兩種語言的標(biāo)準(zhǔn)不統(tǒng)一,導(dǎo)致軟硬件設(shè)計(jì)工程師之間工作交流出現(xiàn)障礙,工作效率較低。因此,集成電路設(shè)計(jì)界一直在尋找一種能同時(shí)實(shí)現(xiàn)較高層次的軟件和硬件描述的系統(tǒng)級設(shè)計(jì)語言。Synopsys公司與Coware公司針對各方對系統(tǒng)級設(shè)計(jì)語言的
          • 關(guān)鍵字: SOC  SystemC  集成電路  VHDL  Verilog HDL  

          基于模式的SoC設(shè)計(jì)方法研究

          • 引 言   SoC(system on chip) 是微電子技術(shù)發(fā)展的一個(gè)新的里程碑,SoC不再是一種功能單一的單元電路,而是將信號采集、處理和輸出等完整的系統(tǒng)集成在一起,成為一個(gè)有專用目的的電子系統(tǒng)單片。其設(shè)計(jì)思想也有別于IC,在一個(gè)或若干個(gè)單片上完成整個(gè)系統(tǒng)的功能。   SoC開發(fā)和設(shè)計(jì)存在一些問題,如描述語言不統(tǒng)一、抽象層次低、仿真速度慢、可重用性差、設(shè)計(jì)性能無法保障、RTL級發(fā)現(xiàn)的問題需要重新進(jìn)行整個(gè)的設(shè)計(jì)流程才能解決,因此SoC的建模與設(shè)計(jì)的方法成為當(dāng)前刻不容緩的課題。上述種種問題與曾經(jīng)困
          • 關(guān)鍵字: 嵌入式系統(tǒng)  單片機(jī)  SoC  面向?qū)ο?/a>  SystemC  嵌入式  

          在SoC設(shè)計(jì)中用SystemC虛擬平臺預(yù)覽USB的性能

          • 現(xiàn)在的程序員和系統(tǒng)架構(gòu)師有比以往更多的軟件可用于 SoC(單片系統(tǒng))設(shè)計(jì),但也面臨著一個(gè)日益困擾他們的問題:如何在設(shè)計(jì)前期,在硅片拿到手以前評估和優(yōu)化軟件的性能。為解決這個(gè)問題,程序員們轉(zhuǎn)向虛擬平臺,這種平臺采用軟件來對目標(biāo)硬件的架構(gòu)和功能建模。當(dāng)設(shè)計(jì)師們小心地在其它軟件工具幫助下完成這個(gè)任務(wù)時(shí),這些平臺被證明是有效的方法,可以對很多重要性能的度量做出早期評估,如有關(guān)嵌入軟件功能好壞及其與現(xiàn)有硬件的互相影響。虛擬平臺可以預(yù)測 CPU 效率、數(shù)據(jù)傳輸率以及緩存失中率、中斷等待時(shí)間、功能性熱點(diǎn),以及其它性能的
          • 關(guān)鍵字: SoC  SystemC  USB  單片機(jī)  嵌入式系統(tǒng)  SoC  ASIC  

          SoC系統(tǒng)描述與SystemC

          • 摘    要:隨著VLSI工藝技術(shù)的發(fā)展,為了縮短開發(fā)周期,提高設(shè)計(jì)的可預(yù)見性,SoC設(shè)計(jì)已經(jīng)成為迫切需求。本文將比較C++、VHDL和SystemC,說明SystemC是一種非常好的系統(tǒng)描述語言。同時(shí)利用C++和VHDL的語法來深入介紹SystemC的語法。 引言在早期的集成電路設(shè)計(jì)過程中,由于低抽象層次的設(shè)計(jì)問題比高抽象層次的設(shè)計(jì)問題手工處理更難,這迫使研究者首先把注意力集中到低層次設(shè)計(jì)問題上。例如:電路仿真、布局、布線和布局規(guī)劃。隨著低層次設(shè)計(jì)問題變得易于處理,邏輯仿
          • 關(guān)鍵字: SystemC  SoC  ASIC  
          共11條 1/1 1

          systemc介紹

          systemC   System C是一種軟/硬件協(xié)同設(shè)計(jì)語言,一種新的系統(tǒng)級建模語言。   近年來Synopsys 公司, CoWare 公司和Frontier Design公司合作開發(fā)了SystemC .在1999 年9 月27 日四十多家世界上著名的EDA 公司, IP 公司, 半導(dǎo)體公司和嵌入式軟件公司宣布成立”開放式SystemC 創(chuàng)始社” (Open SystemC Initia [ 查看詳細(xì) ]

          熱門主題

          SystemC    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();