<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> dsp+fpga

          基于DSP的自動(dòng)指紋識(shí)別系統(tǒng)

          • 文章介紹了一種基于TI公司的TMS320VC5402來(lái)構(gòu)造指紋識(shí)別系統(tǒng)的方法。詳細(xì)論述了系統(tǒng)的各個(gè)組成部分以及指紋識(shí)別算法的實(shí)現(xiàn)流程,結(jié)合VC5402的指令集和自身結(jié)構(gòu)特點(diǎn),討論了如何高效的設(shè)計(jì)應(yīng)用程序的方法。
          • 關(guān)鍵字: 系統(tǒng)  指紋識(shí)別  自動(dòng)  DSP  基于  

          基于PCI總線(xiàn)的雙DSP系統(tǒng)及WDM驅(qū)動(dòng)程序設(shè)計(jì)

          • 介紹了PCI總線(xiàn)控制芯片PCI2040的功能及內(nèi)部結(jié)構(gòu),分析了基于PCI總線(xiàn)的雙DSP通信的硬件結(jié)構(gòu)及實(shí)現(xiàn)方法,并描述了利用Windows2000 DDK開(kāi)發(fā)WDM設(shè)備驅(qū)動(dòng)程序的方法及PCI雙DSP通信驅(qū)動(dòng)程序主要模塊的設(shè)計(jì)方法和編程注意要點(diǎn)。
          • 關(guān)鍵字: PCI  DSP  WDM  總線(xiàn)    

          基于AD9430的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

          • 摘   要:本文介紹了高速ADC AD9430的功能,詳細(xì)說(shuō)明了使用高速FPGA來(lái)控制AD9430構(gòu)成高速(140MSPS)、高精度(12位)數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)方法,并給出了具體實(shí)現(xiàn)的系統(tǒng)框圖和測(cè)試結(jié)果。關(guān)鍵詞:數(shù)據(jù)采集;FPGA;AD9430引言結(jié)合實(shí)際任務(wù)的要求,本文提出了一種基于AD9430的高速數(shù)據(jù)采集系統(tǒng),主要用于采集雷達(dá)回波。在這個(gè)系統(tǒng)中,選用高速邏輯器件控制A/D轉(zhuǎn)換和FIFO存儲(chǔ),同時(shí)通過(guò)FPDP(Front Panel Data Port)總線(xiàn)將采集的數(shù)據(jù)發(fā)送出去。由
          • 關(guān)鍵字: AD9430  FPGA  數(shù)據(jù)采集  

          基于雙DSP的磁軸承數(shù)字控制器容錯(cuò)設(shè)計(jì)

          • 摘    要:本文介紹了應(yīng)用于磁軸承的雙DSP熱備容錯(cuò)控制方案,該方案采用時(shí)鐘同步技術(shù),由總線(xiàn)表決模塊實(shí)現(xiàn)系統(tǒng)的容錯(cuò)處理,硬件判決模塊實(shí)現(xiàn)硬件故障判斷。由中心仲裁模塊根據(jù)兩判決模塊的結(jié)果進(jìn)行復(fù)雜的仲裁,并完成切換和完善的報(bào)警邏輯,從而提高了磁軸承控制系統(tǒng)的可靠性。關(guān)鍵詞:容錯(cuò);磁軸承; 控制器; CPLD; DSP引言電磁軸承(AMB)是利用可控電磁吸力將轉(zhuǎn)子懸浮起來(lái)的一種新型高性能軸承,具有無(wú)接觸、無(wú)摩擦、高速度、高精度、不需潤(rùn)滑和密封等一系列特點(diǎn),在交通、超高速超精密加工
          • 關(guān)鍵字: CPLD  DSP  磁軸承  控制器  容錯(cuò)  

          基于FPGA的非對(duì)稱(chēng)同步FIFO設(shè)計(jì)

          • 摘    要:本文在分析了非對(duì)稱(chēng)同步FIFO的結(jié)構(gòu)特點(diǎn)及其設(shè)計(jì)難點(diǎn)的基礎(chǔ)上,采用VHDL描述語(yǔ)言,并結(jié)合FPGA,實(shí)現(xiàn)了一種非對(duì)稱(chēng)同步FIFO的設(shè)計(jì)。關(guān)鍵詞:非對(duì)稱(chēng)同步FIFO;VHDL;FPGA;DLL;BlockRAM引言FIFO是一種常用于數(shù)據(jù)緩存的電路器件,可應(yīng)用于包括高速數(shù)據(jù)采集、多處理器接口和通信中的高速緩沖等各種領(lǐng)域。然而在某些應(yīng)用,例如在某數(shù)據(jù)采集和處理系統(tǒng)中,需要通過(guò)同步FIFO來(lái)連接8位A/D和16位數(shù)據(jù)總線(xiàn)的MCU,但是由于目前同步FIFO器件的輸入與輸
          • 關(guān)鍵字: BlockRAM  DLL  FPGA  VHDL  非對(duì)稱(chēng)同步FIFO  存儲(chǔ)器  

          基于DSP的列車(chē)應(yīng)變力測(cè)試系統(tǒng)設(shè)計(jì)

          • 摘    要:本文介紹了基于TMS320VC33 DSP芯片的應(yīng)變力測(cè)試系統(tǒng)的設(shè)計(jì),給出了結(jié)構(gòu)原理框圖,并圍繞DSP設(shè)計(jì)了測(cè)試系統(tǒng)的中斷、復(fù)位子系統(tǒng)、存儲(chǔ)子系統(tǒng)和通信子系統(tǒng)。同時(shí)還對(duì)測(cè)試系統(tǒng)進(jìn)行了信號(hào)完整性分析。關(guān)鍵詞:測(cè)試系統(tǒng);DSP;應(yīng)變力;信號(hào)完整性車(chē)輪與軌道間的作用力是評(píng)價(jià)車(chē)輛運(yùn)行品質(zhì)的重要因素,能否準(zhǔn)確及時(shí)地獲取輪軌間的作用力直接影響著車(chē)輛脫軌系數(shù)等參數(shù)的計(jì)算。應(yīng)變力測(cè)試系統(tǒng)是設(shè)計(jì)列車(chē)運(yùn)行狀態(tài)地面安全監(jiān)測(cè)平臺(tái)的關(guān)鍵環(huán)節(jié),本文用DSP芯片開(kāi)發(fā)的測(cè)試系統(tǒng)正是針對(duì)這一需要
          • 關(guān)鍵字: DSP  測(cè)試系統(tǒng)  信號(hào)完整性  應(yīng)變力  

          基于FPGA的高速數(shù)字鎖相環(huán)的設(shè)計(jì)與實(shí)現(xiàn)

          • 摘    要:本文提出了一種利用邊沿觸發(fā)鑒相縮短鎖相環(huán)捕獲時(shí)間的方案,并詳細(xì)介紹了該方案基于FPGA的實(shí)現(xiàn)方法。通過(guò)對(duì)所設(shè)計(jì)的鎖相環(huán)進(jìn)行計(jì)算機(jī)仿真和硬件測(cè)試,表明該方案確實(shí)可以提高鎖相環(huán)的捕獲性能。關(guān)鍵詞:數(shù)字鎖相環(huán)(DPLL);捕獲時(shí)間;FPGA;VHDL引言捕獲時(shí)間是鎖相環(huán)的一個(gè)重要參數(shù),指的是鎖相環(huán)從起始狀態(tài)到達(dá)鎖定狀態(tài)所需時(shí)間。在一些系統(tǒng)中,如跳頻通信系統(tǒng),由于系統(tǒng)工作頻率不斷地發(fā)生快速變化(每秒幾百次到幾千次,甚至高達(dá)上萬(wàn)次),要求鎖相環(huán)能夠?qū)π盘?hào)相位快速捕獲。因此
          • 關(guān)鍵字: FPGA  VHDL  捕獲時(shí)間  數(shù)字鎖相環(huán)(DPLL)  

          基于DSP控制的PFC變換器的新穎采樣算法

          • 為DSP控制的功率因數(shù)校正(PFC)變換器提出了一種新穎的采樣算法,它能夠很好地消除PFC電路中高頻開(kāi)關(guān)動(dòng)作產(chǎn)生的振蕩對(duì)數(shù)字采樣的影響。
          • 關(guān)鍵字: 新穎  采樣  算法  變換器  PFC  DSP  控制  基于  

          高速DSP數(shù)據(jù)采集的信號(hào)完整性問(wèn)題

          • 深入研究高速數(shù)字電路設(shè)計(jì)中的信號(hào)完整性問(wèn)題;分析電路中破壞信號(hào)完整性的原因;結(jié)合一個(gè)實(shí)際的DSP數(shù)據(jù)采集系統(tǒng)、闡述實(shí)現(xiàn)信號(hào)完整性的具體方案。
          • 關(guān)鍵字: 完整性  問(wèn)題  信號(hào)  數(shù)據(jù)采集  DSP  高速  

          集系統(tǒng)級(jí)FPGA芯片XCV50E的結(jié)構(gòu)與開(kāi)發(fā)

          • VirtexE系列是XILINX公司生產(chǎn)的新型FPGA芯片,可用來(lái)進(jìn)行數(shù)十萬(wàn)邏輯門(mén)級(jí)的系統(tǒng)設(shè)計(jì)和百兆赫茲級(jí)的高速電路設(shè)計(jì)。
          • 關(guān)鍵字: FPGA  50E  XCV  50    

          基于FPGA的光柵尺信號(hào)智能接口模塊

          • 介紹了一種基于ALTERA公司大規(guī)??删幊踢壿嬈骷﨓PF10K10的多功能光柵尺處理品電路。敘述了該電路的主要電路――四倍頻細(xì)分、辨向電路、計(jì)數(shù)電路、接口處理電路的設(shè)計(jì)原理,風(fēng)時(shí)給出了詳細(xì)的電路和仿真波形。
          • 關(guān)鍵字: FPGA  光柵  信號(hào)  模塊    

          基于DSP的永磁同步電機(jī)磁場(chǎng)定向控制器設(shè)計(jì)

          • 介紹了一種采用DSP芯片TMS320LF2407A實(shí)現(xiàn)永磁同步電機(jī)磁場(chǎng)定向控制器的控制原理,給出了采用磁場(chǎng)定向控制策略來(lái)設(shè)計(jì)該控制器的硬件組成結(jié)構(gòu)及軟件設(shè)計(jì)流程。
          • 關(guān)鍵字: 定向  控制器  設(shè)計(jì)  磁場(chǎng)  電機(jī)  DSP  永磁  同步  PMSM  

          基于FPGA的同步測(cè)周期高精度數(shù)字頻率計(jì)的設(shè)計(jì)

          • 摘    要:本文介紹了一種同步測(cè)周期計(jì)數(shù)器的設(shè)計(jì),并基于該計(jì)數(shù)器設(shè)計(jì)了一個(gè)高精度的數(shù)字頻率計(jì)。文中給出了計(jì)數(shù)器的VHDL編碼,并對(duì)頻率計(jì)的FPGA實(shí)現(xiàn)進(jìn)行了仿真驗(yàn)證,給出了測(cè)試結(jié)果。關(guān)鍵詞:頻率計(jì);VHDL;FPGA;周期測(cè)量 在現(xiàn)代數(shù)字電路設(shè)計(jì)中,采用FPGA結(jié)合硬件描述語(yǔ)言VHDL可以設(shè)計(jì)出各種復(fù)雜的時(shí)序和邏輯電路,具有設(shè)計(jì)靈活、可編程、高性能等優(yōu)點(diǎn)。本文將介紹一種基于FPGA,采用同步測(cè)周期的方法來(lái)實(shí)現(xiàn)寬頻段高精度數(shù)字頻率計(jì)的設(shè)計(jì)。 圖1 同步測(cè)周期計(jì)數(shù)器
          • 關(guān)鍵字: FPGA  VHDL  頻率計(jì)  周期測(cè)量  

          自上而下直到物理實(shí)現(xiàn)的DSP設(shè)計(jì)流程

          • 引言世界正處于高科技下一波快速增長(zhǎng)的開(kāi)端, DSP已經(jīng)成為業(yè)界公認(rèn)的、將按指數(shù)增長(zhǎng)的技術(shù)焦點(diǎn)。目前,大多數(shù)DSP設(shè)計(jì)已經(jīng)能在半導(dǎo)體生產(chǎn)商(如T1、ADI、Freescale等)提供的通用DSP芯片上實(shí)現(xiàn)。通用處理器的價(jià)格相對(duì)比較便宜,并且有高質(zhì)量和廉價(jià)的編程工具、方便快速實(shí)現(xiàn)DSP算法的支持,但開(kāi)發(fā)人員更希望在原型創(chuàng)建和調(diào)試過(guò)程中能進(jìn)行重新編程。圖1  通用DSP處理器的性能與通信領(lǐng)域需要的DSP處理性能的比較速度的需要現(xiàn)在,對(duì)電子系統(tǒng)的性能要求已經(jīng)超過(guò)了通用DSP處理器的能力。圖1顯示了由寬帶
          • 關(guān)鍵字: DSP  

          LMB-018A顯示模塊的原理及與DSP的接口

          • LMB-018A是深圳拓微電子有限公司推出的內(nèi)含控制器和驅(qū)動(dòng)器的點(diǎn)陣式液晶顯示模塊。文中介紹了該模塊的原理及功能,給出了其與DSP接口的硬件電路和軟件設(shè)計(jì)方法。
          • 關(guān)鍵字: DSP  接口  原理  模塊  顯示  LMB-018A  
          共9854條 648/657 |‹ « 646 647 648 649 650 651 652 653 654 655 » ›|

          dsp+fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條dsp+fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)dsp+fpga的理解,并與今后在此搜索dsp+fpga的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();