<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga:quartusⅡ

          基于FPGA的等效時間采樣

          • 在現(xiàn)代電子測量、通訊系統(tǒng)以及生物醫(yī)學等領(lǐng)域,經(jīng)常涉及對寬帶模擬信號進行數(shù)據(jù)采集和存儲,以便計算機進一步進行數(shù)據(jù)處理。為了對高速模擬信號進行不失真采集,根據(jù)奈奎斯特定理,采樣頻率必須為信號頻率的2倍以上
          • 關(guān)鍵字: 等效時間采樣  FPGA  數(shù)據(jù)采集  變頻  

          一種精確幀同步算法及FPGA實現(xiàn)

          • 在衛(wèi)星通信系統(tǒng)中,發(fā)送端通常利用不同的分組時隙同步傳送處在同一傳輸頻帶內(nèi)的各路信號,而接收端為了準確識別和分離出數(shù)據(jù)流中的各路信號,需要采用幀同步算法進行分組檢測和符號同步,其中分組檢測用來識別數(shù)據(jù)分
          • 關(guān)鍵字: 幀同步  相關(guān)  FPGA  衛(wèi)星通信接收機  

          基于FPGA流水線結(jié)構(gòu)并行FFT的設(shè)計與實現(xiàn)

          • 離散傅里葉變換DFT在通信、控制、信號處理、圖像處理、生物信息學、計算物理、應(yīng)用數(shù)學等領(lǐng)域中有著廣泛的應(yīng)用。FFT算法是作為DFT快速算法提出的,它將長序列的DFT分解為短序列的DFT,大大減少了運算量。FFT的FPGA實
          • 關(guān)鍵字: FFT  FPGA  流水線  并行處理  

          基于FPGA的天線選通電路設(shè)計

          • 某定向設(shè)備采用多普勒效應(yīng)測向原理,即當天線振子做圓周運動時,天線振子本身與目標信號源就會產(chǎn)生相對速度,使振子感應(yīng)到的信號產(chǎn)生了多普勒頻移,通過對振子感應(yīng)信號相位的處理,從而達到測向的目的。而為了提高天
          • 關(guān)鍵字: FPGA  VHDL  選通電路  分頻  

          SDR SDRAM(理論篇)

          • 由于SDRAM本身就是一個比較復雜的東西,之前小墨在學這方面東西的時候感覺很是吃力,于是那時候便暫時放下了,知道年后這段時間,小墨又重新拾起這個知識點,想要一口氣把它調(diào)通了,再往下看其他的東西。學SDRAM,理
          • 關(guān)鍵字: fpga    sram  

          SDR SDRAM(架構(gòu)篇)

          • 今天我們來講的是SDRAM的架構(gòu)以及設(shè)計,這也是小墨第一次接觸架構(gòu),也談不上給大家講,就是把我理解的當做一個筆記分享給大家,我也試著做了一個SDRAM 的架構(gòu)word文檔,在文章的后面,喜歡的朋友可以下載下來看一下
          • 關(guān)鍵字: SDRAM    FPGA  

          SOPC進階,自定義AD轉(zhuǎn)換IP核設(shè)計全流

          • 今天帶大家來設(shè)計一個自定義的IP核,我們從最基本的做起,包括datasheet 的理解,設(shè)計的整體框架,AD轉(zhuǎn)換代碼的編寫,仿真,Avalon-MM總線接口的編寫,硬件系統(tǒng)還是基于上次的硬件系統(tǒng),不過我們不再用altera給我們
          • 關(guān)鍵字: fpga    sopc  

          如何用FPGA實現(xiàn)4G無線球形檢測器

          • MIMO無線系統(tǒng)最佳硬判決檢測方式是最大似然檢測器。ML檢測因為比特誤碼率 (BER)性能出眾,非常受歡迎。不過,直接實施的復雜性會隨著天線和調(diào)制方案的增加呈指數(shù)級增強,使ASIC或FPGA僅能用于使用少數(shù)天線的低密度調(diào)
          • 關(guān)鍵字: FPGA  MIMO  

          基于圖像增強的去霧快速算法的FPGA實現(xiàn)

          • 摘要:基于圖像增強方法,本文提出了一種使用亮度映射的圖像去霧快速算法。此算法通過調(diào)整室外多霧場景圖像的對比度,提高了霧中物體的辨識度。算法的復雜度低、處理延遲小,實時性高,利于FPGA的實現(xiàn)。實現(xiàn)時不需外
          • 關(guān)鍵字: 圖像增強  實時去霧  FPGA 亮度映射  

          十年漫長探索 硬件仿真技術(shù)終成主流

          • 現(xiàn)在,無需再為堆積如山的驗證報告一籌莫展了,要知道,硬件仿真已成為主流,這讓我們得以告別滿是灰塵的車間,將工作轉(zhuǎn)移到電腦桌面上。這一轉(zhuǎn)變并非一夜之間發(fā)生的,而更像是一段持續(xù)了十年的漫長旅程 — 但
          • 關(guān)鍵字: 硬件仿真  芯片設(shè)計  FPGA  處理器  

          JESD204B轉(zhuǎn)換器內(nèi)確定性延遲解密

          • 對于需要一系列同步模數(shù)轉(zhuǎn)換器(ADC)的高速信號采樣和處理應(yīng)用,轉(zhuǎn)換器具有去相位偏移和匹配延遲變化的能力至關(guān)重要。圍繞該特性展開的系統(tǒng)設(shè)計極為關(guān)鍵,因為從模擬采樣點到處理模塊之間的任何延遲失配都會使性能下
          • 關(guān)鍵字: JESD204B    ADC    FPGA  

          基于BF533和FPGA的雷達信號模擬器設(shè)計實現(xiàn)

          • 隨著軍事技術(shù)的高速發(fā)展,現(xiàn)代雷達系統(tǒng)面臨著嚴峻的挑戰(zhàn)。為適應(yīng)新形勢,在現(xiàn)代數(shù)字信號處理技術(shù)和數(shù)字計算機高速發(fā)展的基礎(chǔ)上,計算機仿真技術(shù)得到廣泛應(yīng)用,這也促使雷達信號模擬技術(shù)快速發(fā)展。雷達信號模擬器是現(xiàn)
          • 關(guān)鍵字: 雷達信號模擬器  DSP  FPGA  數(shù)字頻率合成  

          基于DSP的某導航計算機模塊的設(shè)計

          • 摘要:隨著現(xiàn)代導航技術(shù)的發(fā)展,慣性導航作為一種自主導航技術(shù)已經(jīng)廣泛應(yīng)用于多種武器系統(tǒng)中,而導航計算機又是捷聯(lián)式慣導的核心部件。文章提出了一種采用基于DSP的某型導航計算機模塊的解決方案,設(shè)計方案采用雙處理
          • 關(guān)鍵字: DSP  CAN  FPGA  光電隔離  慣導  

          多通道實時陣列信號處理系統(tǒng)的設(shè)計

          • 摘要:以全數(shù)字化信號產(chǎn)生和數(shù)字波束形成處理為基礎(chǔ)的數(shù)字化陣列雷達已成為當代相控陣雷達技術(shù)發(fā)展的一個重要趨勢,本文針對現(xiàn)代數(shù)字化陣列雷達對多通道數(shù)據(jù)采集和實時處理的需求,設(shè)計了一種基于FPGA的多通道實時陣
          • 關(guān)鍵字: 陣列信號  多通道采集  FPGA  數(shù)字波束合成  

          FPGA組成、工作原理和開發(fā)流程

          • 1. FPGA概述FPGA是英文Field Programmable Gate Array的縮寫,即現(xiàn)場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決
          • 關(guān)鍵字: FPGA  工作原理  開發(fā)流程  
          共6368條 106/425 |‹ « 104 105 106 107 108 109 110 111 112 113 » ›|

          fpga:quartusⅡ介紹

          您好,目前還沒有人創(chuàng)建詞條fpga:quartusⅡ!
          歡迎您創(chuàng)建該詞條,闡述對fpga:quartusⅡ的理解,并與今后在此搜索fpga:quartusⅡ的朋友們分享。    創(chuàng)建詞條

          熱門主題

          FPGA:QuartusⅡ    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();