<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga:quartusⅡ

          基于FPGA的IIR數(shù)字濾波器的設(shè)計(jì)方案

          • 用FPGA實(shí)現(xiàn)數(shù)字濾波器具有實(shí)時(shí)性強(qiáng)、靈活性高、處理速度快以及小批量生產(chǎn)成本低等優(yōu)點(diǎn),所以得到了較為廣泛的應(yīng)用。本文以巴特沃思數(shù)字帶通濾波器為例,較為詳細(xì)地介紹了其設(shè)計(jì)和實(shí)現(xiàn)方法。給定巴特沃茲數(shù)字帶通濾波器的抽樣頻率為500Hz,上、下邊帶截止頻率分別為150Hz和30Hz。
          • 關(guān)鍵字: IIR數(shù)字濾波器  雙線性變換法  FPGA  matlab  

          FPGA設(shè)計(jì)頻率計(jì)算方法

          • 我們的設(shè)計(jì)需要多大容量的芯片?我們的設(shè)計(jì)能跑多快?這是經(jīng)常困擾工程師的兩個(gè)問題。對(duì)于前一個(gè)問題,我們可能還能先以一個(gè)比較大的芯片實(shí)現(xiàn)原型,待原型完成再選用大小合適的芯片實(shí)現(xiàn)。對(duì)于后者,我們需要一個(gè)比較精確的預(yù)估。
          • 關(guān)鍵字: 頻率計(jì)算  D觸發(fā)器  FPGA  Tlogic  

          14nm的FPGA需要什么樣的電源管理IC?

          • 現(xiàn)在的FPGA不僅僅是一個(gè)邏輯器件,它現(xiàn)在更加像一個(gè)平臺(tái),在一個(gè)FPGA中常常會(huì)包含有數(shù)字信號(hào)處理、嵌入式處理、高速串行和其他高端技術(shù)模塊。那么,這樣的FPGA需要什么樣的電源管理IC來與之配合呢?
          • 關(guān)鍵字: 電源管理IC  14nm  FPGA  Enpirion  CycloneVSoC  

          分析FPGA的基本結(jié)構(gòu)

          • FPGA由6部分組成,分別為可編程輸入/輸出單元、基本可編程邏輯單元、嵌入式塊RAM、豐富的布線資源、底層嵌入功能單元和內(nèi)嵌專用硬核等。
          • 關(guān)鍵字: 硬核  輸入/輸出  FPGA  邏輯單元  RAM  

          如何通過RTL分析、SDC約束和綜合向?qū)Ц焱瞥鯢PGA設(shè)計(jì)

          • EDA 公司和 FPGA 廠商不斷開發(fā)新的工具和方法,推進(jìn)繁瑣任務(wù)的自動(dòng)化,幫助設(shè)計(jì)團(tuán)隊(duì)集中精力做好創(chuàng)造性工作。下面我們就來看看 FPGA 工具流程的演進(jìn)發(fā)展,了解一下現(xiàn)代 FPGA 團(tuán)隊(duì)是如何利用 RTL分析、約束生成和綜合導(dǎo)向來減少設(shè)計(jì)迭代的。
          • 關(guān)鍵字: RTL  SDC  綜合向?qū)?/a>  FPGA  

          用智能的調(diào)試與綜合技術(shù)隔離FPGA設(shè)計(jì)中的錯(cuò)誤

          • Synopsys公司的Synplify Premier 和Synplify Pro FPGA設(shè)計(jì)工具以及Identify RTLDebugger 等產(chǎn)品能幫助設(shè)計(jì)人員完成上述工作。這些工具的特性使得設(shè)計(jì)人員能快速隔離錯(cuò)誤,有效縮短運(yùn)行時(shí)間,并減少開發(fā)板啟動(dòng)所需的迭代次數(shù)。
          • 關(guān)鍵字: 智能調(diào)試  SynplifyPremier  FPGA  SynplifyProFPGA  

          信號(hào)去直流方法

          • 本文介紹一種根據(jù)Xilinx FPGA中DSP48E1資源設(shè)計(jì)的去直流模塊,其基本原理采用一階濾波器,如圖1所示,通過一個(gè)一階RC電路,在V0端可等效一個(gè)低通濾波器,得到直流分量。
          • 關(guān)鍵字: 信號(hào)去直流  DSP48E1  FPGA  一階濾波器  

          用于實(shí)現(xiàn)嵌入式安全的開源硬件

          • 想像一下你正在排隊(duì)等待參加一個(gè)重要活動(dòng)。門票是通過網(wǎng)上購(gòu)買的,存儲(chǔ)在你的智能手機(jī)中。你需要將手機(jī)放到某個(gè)指定區(qū)域上,建立起NFC連接,門票隨之得到確認(rèn),大門開啟允許你進(jìn)入。好消息是,所有這一切都是在匿名情況下發(fā)生的。
          • 關(guān)鍵字: 嵌入式安全  開源硬件  零知識(shí)證明  ZKPK  FPGA  

          FPGA與多核CPU如何改變嵌入式設(shè)計(jì)

          • 隨著嵌入式器件在過去數(shù)十年來的爆炸性成長(zhǎng),使得硬件組件及軟件工具都有顯著的改善。雖然有著這種成長(zhǎng)與創(chuàng)新,但傳統(tǒng)嵌入式系統(tǒng)的設(shè)計(jì)方法卻少有進(jìn)步,并逐漸變成一種障礙。有鑒于新標(biāo)準(zhǔn)與協(xié)議的快速發(fā)展,以及對(duì)產(chǎn)品上市壓力的日益增加,嵌入式系統(tǒng)設(shè)計(jì)也即將發(fā)生顛覆性的典范改變。隨著硬件技術(shù)及軟件工具的進(jìn)步在加速成長(zhǎng),由整合所帶來的挑戰(zhàn)也
          • 關(guān)鍵字: 多核CPU  嵌入式設(shè)計(jì)  FPGA  

          高速PCI信號(hào)采集卡設(shè)計(jì)與實(shí)現(xiàn)綜合實(shí)例之: 產(chǎn)品定型和設(shè)計(jì)文檔備案

          • 產(chǎn)品的定型包括系統(tǒng)的基本組成、基本配置及互聯(lián)方法,運(yùn)行環(huán)境,硬件整體系統(tǒng)及各分系統(tǒng)的基本功能和主要性能指標(biāo),功能模塊的劃分,關(guān)鍵技術(shù)的使用,采購(gòu)的硬件器件名稱型號(hào)、生產(chǎn)單位、主要技術(shù)指標(biāo),主要儀器設(shè)備,電源、工藝結(jié)構(gòu)設(shè)計(jì)等。
          • 關(guān)鍵字: 高速PCI信號(hào)采集卡  單板硬件  FPGA  單板軟件  

          高速PCI信號(hào)采集卡設(shè)計(jì)與實(shí)現(xiàn)綜合實(shí)例之:產(chǎn)品穩(wěn)定性和可靠性測(cè)試

          • 完成一個(gè)產(chǎn)品的設(shè)計(jì)后和初步調(diào)試后,就可以對(duì)產(chǎn)品進(jìn)行完整的測(cè)試流程。一般來說,對(duì)產(chǎn)品需要進(jìn)行下面一些測(cè)試,通過測(cè)試后才能對(duì)產(chǎn)品的穩(wěn)定性和可靠性得出一個(gè)結(jié)論。
          • 關(guān)鍵字: 高速PCI信號(hào)采集卡  容錯(cuò)測(cè)試  容限測(cè)試  FPGA  

          高速PCI信號(hào)采集卡設(shè)計(jì)與實(shí)現(xiàn)綜合實(shí)例之:樣機(jī)的調(diào)試方法和技巧

          • 不管是復(fù)雜的電子系統(tǒng)還是簡(jiǎn)單的電路,樣機(jī)的調(diào)試都是有一些基本步驟的。對(duì)于本案例的信號(hào)采集設(shè)備同樣如此。最先進(jìn)行的就是電源系統(tǒng)的調(diào)試,包括是否有短路、斷路,是否有虛焊,各電壓系統(tǒng)是否正常,電源模塊輸出電流是否足夠驅(qū)動(dòng)負(fù)載等。只有電源系統(tǒng)正常工作,才能談得上實(shí)現(xiàn)系統(tǒng)功能。
          • 關(guān)鍵字: 高速PCI信號(hào)采集卡  QuartusII  跑馬燈  FPGA  PCI9054  

          高速PCI信號(hào)采集卡設(shè)計(jì)與實(shí)現(xiàn)綜合實(shí)例之:硬件系統(tǒng)實(shí)現(xiàn)

          • FPGA作可編程器件,可以根據(jù)用戶的需要進(jìn)行現(xiàn)場(chǎng)可編程。為此,本系統(tǒng)實(shí)現(xiàn)了兩種編程配置方式。一種是直接對(duì)FPGA進(jìn)行編程,使用JTAG模式,在QuartusII 工具中輸出SOF文件(SRAM Object File)。其好處是編程速度快,并且由于是對(duì)FPGA的SRAM結(jié)構(gòu)進(jìn)行編程,編程次數(shù)要多得多,但是掉電后,SRAM保存的編程信息
          • 關(guān)鍵字: 高速PCI信號(hào)采集卡  PCI9054  PCI總線  LDO可調(diào)模塊  FPGA  

          高速PCI信號(hào)采集卡設(shè)計(jì)與實(shí)現(xiàn)綜合實(shí)例之: FPGA內(nèi)部結(jié)構(gòu)設(shè)計(jì)

          高速PCI信號(hào)采集卡設(shè)計(jì)與實(shí)現(xiàn)綜合實(shí)例之:主機(jī)應(yīng)用程序和驅(qū)動(dòng)程序的接口設(shè)計(jì)

          • 通過調(diào)用PCI設(shè)備驅(qū)動(dòng)程序的例程,我們可以實(shí)現(xiàn)操作系統(tǒng)對(duì)PCI設(shè)備的控制。但是直接調(diào)用例程進(jìn)行編程往往顯得不夠直接,也不具有足夠的針對(duì)性。因此在高級(jí)語(yǔ)言里面對(duì)PCI設(shè)備或者信號(hào)采集設(shè)備的控制,往往是調(diào)用已經(jīng)封裝過的例程。
          • 關(guān)鍵字: 高速PCI信號(hào)采集卡  驅(qū)動(dòng)程序  主機(jī)應(yīng)用程序  接口設(shè)計(jì)  FPGA  
          共6368條 87/425 |‹ « 85 86 87 88 89 90 91 92 93 94 » ›|

          fpga:quartusⅡ介紹

          您好,目前還沒有人創(chuàng)建詞條fpga:quartusⅡ!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga:quartusⅡ的理解,并與今后在此搜索fpga:quartusⅡ的朋友們分享。    創(chuàng)建詞條

          熱門主題

          FPGA:QuartusⅡ    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();