<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-nios

          FPGA控制下面陣CCD時序發(fā)生器設計及硬件實現(xiàn)

          • 摘要 在分析Sony公司ICX098BQ面陣CCD圖像傳感器驅(qū)動時序的基礎上,對可調(diào)節(jié)曝光時間的CCD時序發(fā)生器及其硬件電路進行設計。選用FPGA器件作為硬件設計平臺,使用VHDL語言對時序關系進行了硬件描述。采用QuartusII 8.0
          • 關鍵字: FPGA  CCD  面陣  發(fā)生器    

          符合中國移動標準協(xié)議轉(zhuǎn)換器中的HDLC協(xié)議的FPGA設計與實現(xiàn)

          • 隨著通信與網(wǎng)絡技術的不斷發(fā)展,使我國用現(xiàn)有的E1資源來傳輸以太網(wǎng)業(yè)務成為廣泛的應用。以太網(wǎng)數(shù)據(jù)要通過E1線路傳輸就必須對以太網(wǎng)凈荷數(shù)據(jù)進行幀封裝,才能從E1線路上恢復出以太網(wǎng)數(shù)據(jù)幀,完成以太網(wǎng)數(shù)據(jù)的交換。通常,以太網(wǎng)數(shù)據(jù)是通過HDLC協(xié)議或GFP協(xié)議來進行封裝的。本文介紹了中國移動標準協(xié)議轉(zhuǎn)換器中以太網(wǎng)到單路E1轉(zhuǎn)換器HDLC協(xié)議封裝的FPGA(現(xiàn)場可編程邏輯陣列)設計與實現(xiàn)。
          • 關鍵字: 中國移動  FPGA  201106  

          基于FPGA的NAND Flash ECC校驗

          • 摘要 基于Flash存儲器的Hamming編碼原理,在Altera QuartusⅡ7.0開發(fā)環(huán)境下,實現(xiàn)ECC校驗功能。測試結果表明,該程序可實現(xiàn)每256 Byte數(shù)據(jù)生成3 Byte的ECC校驗數(shù)據(jù),能夠檢測出1 bit錯誤和2 bit錯誤,對于1 bit錯誤
          • 關鍵字: Flash  FPGA  NAND  ECC    

          一種基于ARM 的FPGA可重構配置方法的實現(xiàn)及應用

          • 隨著半導體工藝技術的迅猛發(fā)展,現(xiàn)場可編程邏輯器件FPGA的集成度迅速提高,已達到百萬門量級,與此同時,F(xiàn)PGA中的邏 ...
          • 關鍵字: ARM  FPGA  加載配置  

          IIR數(shù)字濾波器的Matlab和FPGA實現(xiàn)

          • 摘要:提出一種通過兩個二階節(jié)級聯(lián)構成四階IIR數(shù)字橢圓濾波器的設計方法,并利用Matlab仿真軟件設計了通帶內(nèi)波紋不大于0.1 dB,阻帶衰減不小于42 dB的IIR數(shù)字濾波器。論述了一種采用可編程邏輯器件,通過VHDL硬件描
          • 關鍵字: Matlab  FPGA  IIR  數(shù)字濾波器    

          FPGA時鐘設計

          • 摘要:在FPGA設計中,為了成功地操作,可靠的時鐘是非常關鍵的。設計不良的時鐘在極限的溫度、電壓下將導致錯誤的行為。在設計PLD/FPGA時通常采用如下四種類型時鐘:全局時鐘、門控時鐘、多級邏輯時鐘和波動式時鐘。
          • 關鍵字: FPGA  時鐘設計    

          基于FPGA的自適應波束形成算法實現(xiàn)

          •  1 引 言  在雷達及聲納信號處理系統(tǒng)中,波束形成算法通常采用DSP軟件編程實現(xiàn),控制邏輯電路采用CPLD來完成,這種方法具有軟件編程靈活、功能易于擴展的優(yōu)點,但對于實時性能要求很高的系統(tǒng),如雷達、聲納探測和
          • 關鍵字: FPGA  自適應波束  算法    

          基于FPGA的實時中值濾波器硬件實現(xiàn)

          • 針對高清圖像在中值濾波預處理過程中排序量多、速度慢的特點,提出適合鄰域圖像并行處理機的分塊存儲方法。在流水線結構下,1個時鐘周期可以并行處理32個3×3鄰域的中值濾波運算,實現(xiàn)了高速、實時的1 920×1 080灰度圖像中值濾波器。
          • 關鍵字: FPGA  中值濾波  硬件實現(xiàn)    

          基于FPGA的多路數(shù)字信號復分接器的設計

          • 在現(xiàn)代數(shù)字通信中,對數(shù)據(jù)傳輸容量和傳輸效率的要求越來越高,因此經(jīng)常依據(jù)時分復用[1]的原理通過數(shù)字復接與分...
          • 關鍵字: 數(shù)字復接技術  FPGA  時分復用  

          萊迪思宣布首個符合PCI Express 2.0規(guī)范的低成本FPGA

          •   萊迪思半導體公司日前宣布LatticeECP3TMFPGA系列符合PCI Express 2.0在2.5Gbps的規(guī)范。針對最近PCI – SIG研討會上涉及的1-通道和 4-通道配置,LatticeECP3 FPGA和其PCI Express(PCIe)IP核通過了符合PCI - SIGPCIe 2.0規(guī)范和互操作性的測試,確保萊迪思的解決方案與現(xiàn)有的支持系統(tǒng)的PCIe 2.0具有互操作性。
          • 關鍵字: 萊迪思  FPGA  

          賽靈思榮膺“2011中國經(jīng)濟-最佳推動力企業(yè)”獎

          • 全球可編程平臺領導廠商賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布榮膺中國經(jīng)濟CEO論壇“2011中國經(jīng)濟-最佳推動力企業(yè)”獎。賽靈思公司全球高級副總裁、亞太地區(qū)執(zhí)行總裁湯立人(Vincent Tong)和亞太地區(qū)銷售與市場副總裁楊飛先生,出席了由東方企業(yè)家、經(jīng)理人雜志、新民周刊、金融界、北京電視臺、香港科技大學商學院、中國企業(yè)國際發(fā)展協(xié)會聯(lián)合在北京發(fā)起主辦的 “中國經(jīng)濟CEO論壇暨中國經(jīng)濟成就獎評選頒獎盛典”。 商務部國際貿(mào)易經(jīng)濟合作研究院研究員白明代表中國經(jīng)濟CEO 論壇
          • 關鍵字: 賽靈思  FPGA  

          萊迪思宣布首個符合PCI Express 2.0規(guī)范的FPGA

          • 萊迪思半導體公司(NASDAQ: LSCC)今日宣布LatticeECP3TMFPGA系列符合PCI Express 2.0在2.5Gbps的規(guī)范。針對最近PCI – SIG研討會上涉及的1-通道和 4-通道配置,LatticeECP3 FPGA和其PCI Express(PCIe)IP核通過了符合PCI - SIGPCIe 2.0規(guī)范和互操作性的測試,確保萊迪思的解決方案與現(xiàn)有的支持系統(tǒng)的PCIe 2.0具有互操作性。
          • 關鍵字: 萊迪思半導體  FPGA  

          一種基于FPGA控制全彩大屏幕顯示的設計

          • 一種基于FPGA控制全彩大屏幕顯示的設計,隨著數(shù)字技術的飛速發(fā)展,各種數(shù)字顯示屏也隨即涌現(xiàn)出來有LED、LCD、DLP等,各種數(shù)字大屏幕的控制系統(tǒng)多種多樣,有用ARM+FPGA脫機控制系統(tǒng),也有用PC+DVI接口解碼芯片+FPGA芯片聯(lián)機控制系統(tǒng),在這里我們講述一種不僅
          • 關鍵字: 顯示  設計  大屏幕  全彩  FPGA  控制  基于  

          FPGA器件的在線配置方法

          • FPGA器件的在線配置方法,摘要:介紹基于SRAM LUT結構的FPGA器件的上電配置方式;著重介紹采用計算機串口下載配置數(shù)據(jù)的方法和AT89C2051單片機、串行EEPROM組成的串行配置系統(tǒng)的設計方法及實現(xiàn)多任務電路結構中配置的方法,并從系統(tǒng)的復雜度、
          • 關鍵字: 方法  配置  在線  器件  FPGA  

          基于FPGA的四階IIR數(shù)字濾波器

          • 摘要:采用FPGA實現(xiàn)四階IIR數(shù)字濾波器,通過兩個二階節(jié)級聯(lián)構成數(shù)字橢圓低通濾波器。通帶內(nèi)波紋小于0.1dB,阻帶衰減大于32dB。 常用的數(shù)字濾波器有FIR數(shù)字濾波器和IIR數(shù)字濾波器。FIR數(shù)字濾波器具有精
          • 關鍵字: 濾波器  數(shù)字  IIR  FPGA  基于  
          共6475條 269/432 |‹ « 267 268 269 270 271 272 273 274 275 276 » ›|

          fpga-nios介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-nios!
          歡迎您創(chuàng)建該詞條,闡述對fpga-nios的理解,并與今后在此搜索fpga-nios的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();