<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-spartan

          Gbps無線基站設(shè)計中Virtex-5FPGA的應(yīng)用

          •   本文基于Virtex-5FPGA設(shè)計面向未來移動通信標(biāo)準(zhǔn)的Gbps無線通信基站系統(tǒng),具有完全的可重配置性,可以完成MIMO、OFDM及LDPC等復(fù)雜信號處理算法,實現(xiàn)1Gbps速率的無線通信。   引言   隨著集成電路(IC)技術(shù)進(jìn)入深亞微米時代,片上系統(tǒng)SoC(SySTem-ON-a-Chip)以其顯著的優(yōu)勢成為當(dāng)代IC設(shè)計的熱點?;谲浻布f(xié)同設(shè)計及IP復(fù)用技術(shù)的片上系統(tǒng)具有功能強大、高集成度和低功耗等優(yōu)點,可顯著降低系統(tǒng)體積和成本,縮短產(chǎn)品上市的時間。IP核是SoC設(shè)計的一個重要組成部分,
          • 關(guān)鍵字: FPGA  MIMO  SoC  

          基于Virtex-5平臺的真隨機數(shù)發(fā)生器的設(shè)計實現(xiàn)

          •   真隨機數(shù)發(fā)生器(TRNG)在統(tǒng)計學(xué)、信息安全等領(lǐng)域有著廣泛的應(yīng)用。在這些領(lǐng)域中,不僅要求數(shù)據(jù)序列分布均勻、彼此獨立,而且要求其具有不可預(yù)測性,能夠抵御針對隨機性的攻擊。B.Sunar,W.J.Martin和D.R.Stinson提出,真隨機數(shù)發(fā)生器的性能受3個因素的影響:熵源(Entropy Source),采集方式(Harvesting Mechanism)和后續(xù)處理(Post-Processing)。在電路系統(tǒng)中最常見的三種真隨機數(shù)產(chǎn)生方法為:1)直接放大法:放大電路中的電阻熱噪聲等物理噪聲,通過
          • 關(guān)鍵字: FPGA  Virtex-5  隨機數(shù)發(fā)生器  

          是德科技推出支持光學(xué)相干斷層成像技術(shù)的 12 位 PCIe 高速數(shù)據(jù)采集卡

          •   是德科技公司日前宣布與 YellowSys 攜手推出 U5303A 12 位 PCle® 高速數(shù)據(jù)采集卡,該產(chǎn)品提供專為光學(xué)相干斷層成像(OCT)技術(shù)設(shè)計的新選件。YellowSys 是一家 IP 處理固件和軟件供應(yīng)商。   是德科技數(shù)據(jù)采集解決方案使用信號重采樣方法,為極差分析提供板上增強,并提供穩(wěn)定的 ADC 信號采樣節(jié)奏。該解決方案主要關(guān)注信號采集過程中的時鐘穩(wěn)定性,以避免采樣節(jié)奏發(fā)生變化。在使用外部 k 時鐘時,通常會對信號采集產(chǎn)生不利影響。此外,信號處理直接在數(shù)據(jù)采集卡上實時進(jìn)行,
          • 關(guān)鍵字: 是德科技  U5303A  FPGA   

          2015,全新的美高森美來了

          •   新年伊始,美高森美(Microsemi)全球市場營銷執(zhí)行副總裁Russ?Garcia向媒體展示了一個全新的美高森美?! ∪麦w現(xiàn)在:美高森美的營收在過去五年里增長了一倍。2014財年,營收為11.4億美元。焦點市場集中在通信(占總營收的38%)、國防和安全(28%)、航空航天(13%)以及工業(yè)(23%)。幾年來,通過不斷地并購和通過創(chuàng)新實現(xiàn)自有產(chǎn)品的內(nèi)生增長,美高森美不斷成長為產(chǎn)品線多樣,多元化發(fā)展的公司?! uss?Garcia透露,2015的美高森美將在以下三個領(lǐng)域更上層樓:
          • 關(guān)鍵字: 美高森美  FPGA  分立器件  原子鐘  

          零基礎(chǔ)學(xué)FPGA(十二)對于初學(xué)者一篇很不錯的文章

          •   長期以來很多新入群的菜鳥們總 是在重復(fù)的問一些非常簡單但是又讓新手困惑不解的問題。作為管理員經(jīng)常要給這些菜鳥們普及基礎(chǔ)知識,但是非常不幸的是很多菜鳥懷著一種浮躁的心態(tài)來學(xué)習(xí) FPGA,總是急于求成。   再加上國內(nèi)大量有關(guān)FPGA的垃圾教材的誤導(dǎo),所以很多菜鳥始終無法入門。為什么大量的人會覺得FPGA難學(xué)?作為著名FPGA 提供商Altera授權(quán)的金牌培訓(xùn)師,本管理員決心開貼來詳細(xì)講一下菜鳥覺得FPGA難學(xué)的幾大原因。   1、不熟悉 FPGA的內(nèi)部結(jié)構(gòu),不了解可編程邏輯器件的基本原理。   F
          • 關(guān)鍵字: Altera  FPGA  SRAM  

          【從零開始走進(jìn)FPGA】你想干嘛——邊沿檢測技術(shù)

          •   一、為什么要講邊沿檢測   也許,沒有那么一本教科書,會說到這個重要的思想;也許,學(xué)了很久的你,有可能不知道這個重要的思想吧。很慚愧,我也是在當(dāng)年學(xué)了1年后才領(lǐng)悟到這個思想的。   說實話,我的成長很艱辛,沒有人能給我系統(tǒng)的指導(dǎo),而我得撐起這一片藍(lán)天,于是乎無數(shù)個漏洞,我一直在修補我的不足。我沒能對自己滿足過,不是說我“貪得無厭”,而是,我不夠“完美”。人可以不完美,但不可以不追求完美;或許終點永遠(yuǎn)達(dá)不到,但努力的過程,你一直在靠近完美;有方向感地奮
          • 關(guān)鍵字: FPGA  邊沿檢測  

          基于FPGA的跨時鐘域信號處理——同步設(shè)計的重要

          •   上次提出了一個處于異步時鐘域的MCU與FPGA直接通信的實現(xiàn)方式,其實在這之前,特權(quán)同學(xué)想列舉一個異步時鐘域中出現(xiàn)的很典型的問題。也就是要用一個反例來說明沒有足夠重視異步通信會給整個設(shè)計帶來什么樣的危害。   特權(quán)同學(xué)要舉的這個反例是真真切切的在某個項目上發(fā)生過的,很具有代表性。它不僅會涉及使用組合邏輯和時序邏輯在異步通信中的優(yōu)劣、而且能把亞穩(wěn)態(tài)的危害活生生的展現(xiàn)在你面前。   從這個模塊要實現(xiàn)的功能說起吧,如圖1所示,實現(xiàn)的功能其實很簡單的,就是一個頻率計,只不過FPGA除了脈沖采集進(jìn)行計數(shù)外,
          • 關(guān)鍵字: FPGA  同步設(shè)計  

          基于ISE設(shè)計提供低功耗FPGA解決方案

          •   從Xilinx公司推出FPGA二十多年來,研發(fā)工作大大提高了FPGA的速度和面積效率,縮小了FPGA與ASIC之間的差距,使FPGA成為實現(xiàn)數(shù)字電路的優(yōu)選平臺。今天,功耗日益成為FPGA供應(yīng)商及其客戶關(guān)注的問題。   降低FPGA功耗是降低封裝和散熱成本、提高器件可靠性以及打開移動電子設(shè)備等新興市場之門的關(guān)鍵。   Xilinx在提供低功耗FPGA解決方案方面較有經(jīng)驗。本文說明如何應(yīng)用計算機輔助設(shè)計(CAD)技術(shù),如Xilinx ISE(集成軟件環(huán)境)9.2i版本軟件使功能有效降低。   CMO
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:典型實例-增量式設(shè)計演示

          •   6.9 典型實例12:增量式設(shè)計(Incremental Design)演示   6.9.1 實例的內(nèi)容及目標(biāo)   1.實例的主要內(nèi)容   6.7節(jié)對增量式設(shè)計這一方法的基本概念和流程做了全面的介紹。本節(jié)將以一個具體的實例幫助讀者熟悉增量式設(shè)計的操作流程。   本實例的源代碼參見隨書光盤Example6.9。此程序為PC機通過串口向SRAM寫入數(shù)據(jù),再由FPGA從SRAM中讀取數(shù)據(jù)通過串口將其送到PC機。   本實例的重點在于設(shè)計過程中是如何應(yīng)用增量式設(shè)計的,而不是如何實現(xiàn)程序本身的功能。
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:典型實例-ChipScope功能演示

          •   6.8 典型實例11:ChipScope功能演示   6.8.1 實例的內(nèi)容及目標(biāo)   1.實例的主要內(nèi)容   本節(jié)通過一個簡單的計數(shù)器,使用ChipScope的兩種實現(xiàn)流程,基于Xilinx開發(fā)板完成設(shè)計至驗證的完整過程。本實例的工作環(huán)境如下。   · 設(shè)計軟件:ISE 7.1i。   · 綜合工具:ISE自帶的XST。   · 仿真軟件:ModelSim SE 5.8C。   · 在線調(diào)試:ChipScope Pro 8.2i。
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:片上邏輯分析儀(ChipScope Pro)使用技巧

          •   6.7 片上邏輯分析儀(ChipScope Pro)使用技巧   在FPGA的調(diào)試階段,傳統(tǒng)的方法在設(shè)計FPGA的PCB板時,保留一定數(shù)量的FPGA管腳作為測試管腳。在調(diào)試的時候?qū)⒁獪y試的信號引到測試管腳,用邏輯分析儀觀察內(nèi)部信號。   這種方法存在很多弊端:一是邏輯分析儀價格高昂,每個公司擁有的數(shù)量有限,在研發(fā)期間往往供不應(yīng)求,影響進(jìn)度;二是PCB布線后測試腳的數(shù)量就確定了,不能靈活地增加,當(dāng)測試腳不夠用時會影響測試,測試管腳太多又影響PCB布局布線。   ChipScope Pro是ISE下
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:增量式設(shè)計(Incremental Design)技巧

          •   6.6 增量式設(shè)計(Incremental Design)技巧   本節(jié)將對ISE下增量式設(shè)計做一個全面的介紹。FPGA作為一種現(xiàn)場可編程邏輯器件,其現(xiàn)場可重編程特性能夠提高調(diào)試速度。每次硬件工程師可以很方便地改變設(shè)計,重新進(jìn)行綜合、實現(xiàn)、布局布線,并對整個設(shè)計重新編程。   然而當(dāng)設(shè)計算法比較復(fù)雜時,每一次綜合、實現(xiàn)、布局布線需要花很長的時間。即使僅僅改變設(shè)計中的一點,也會使綜合編譯的時間成倍增加。而且更為麻煩的是如果整個工程的運行頻率很高,對時序的要求也很嚴(yán)格,這樣重新布線往往會造成整個時序錯
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:編譯與仿真設(shè)計工程

          •   6.5 編譯與仿真設(shè)計工程   編寫代碼完成之后,一個很重要的工作就是驗證代碼功能的正確性,這就需要對代碼進(jìn)行編譯與仿真。編譯主要是為了檢查代碼是否存在語法錯誤,仿真主要為了驗證代碼實現(xiàn)的功能是否正確。   編譯和仿真設(shè)計工程在整個設(shè)計中占有很重要的地位。因為代碼功能不正確或代碼的編寫風(fēng)格不好對后期的設(shè)計會有很大的影響,所以需要花很多時間在設(shè)計工程的仿真上。   在這一節(jié)中將通過一個具體的實例來介紹如何對編譯工程代碼以及如何使用ISE自帶的仿真工具ISE Simulator進(jìn)行仿真。   1.
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:創(chuàng)建設(shè)計工程

          •   6.4 創(chuàng)建設(shè)計工程   本節(jié)將重點講述如何在ISE下創(chuàng)建一個新的工程。要完成一個設(shè)計,第一步要做的就是新建一個工程。具體創(chuàng)建一個工程有以下幾個步驟。   (1)打開Project Navigator,啟動ISE集成環(huán)境。   ISE的啟動請參見6.2節(jié)。   (2)選擇“File”/“New Project”菜單項,啟動新建工程對話框。   會彈出如圖6.9的對話框。   如圖6.9所示,新建工程時需要設(shè)置工程名稱和新建工程的路徑,還要設(shè)置
          • 關(guān)鍵字: FPGA  ISE  

          FPGA設(shè)計開發(fā)軟件ISE使用技巧之:ISE軟件的設(shè)計流程

          •   6.3 ISE軟件的設(shè)計流程   Xilinx公司的ISE軟件是一套用以開發(fā)Xilinx公司的FPGA&CPLD的集成開發(fā)軟件,它提供給用戶一個從設(shè)計輸入到綜合、布線、仿真、下載的全套解決方案,并很方便地同其他EDA工具接口。   其中,原理圖輸入用的是第三方軟件ECS;狀態(tài)圖輸入用的是StateCAD;HDL綜合可以使用Xilinx公司開發(fā)的XST、Synopsys公司開發(fā)的FPGA Express和Synplicity公司的Synplify/Synplify Pro等;測試激勵可以是圖
          • 關(guān)鍵字: FPGA  Xilinx  ISE  
          共6405條 132/427 |‹ « 130 131 132 133 134 135 136 137 138 139 » ›|

          fpga-spartan介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-spartan!
          歡迎您創(chuàng)建該詞條,闡述對fpga-spartan的理解,并與今后在此搜索fpga-spartan的朋友們分享。    創(chuàng)建詞條

          熱門主題

          FPGA-SPARTAN    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();