<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          HDL(Hardware Description Language),是硬件描述語言。顧名思義,硬件描述語言就是指對硬件電路進行行為描述、寄存器傳輸描述或者結(jié)構(gòu)化描述的一種新興語言。HDL文本輸入硬件描述語言是用文本的形式描述硬件電路的功能,信號連接關(guān)系以及時序關(guān)系。它雖然沒有圖形輸入那么直觀,但功能更強,可以進行大規(guī)模,多個芯片的數(shù)字系統(tǒng)的設(shè)計。常用的HDL有ABEL,VHDL和Verilog HDL等。

          VHDL全名Very-High-Speed Integrated Circuit HardwareDescription Language,是一種用于電路設(shè)計的高級語言。它在80年代的后期出現(xiàn)。最初是由美國國防部開發(fā)出來供美軍用來提高設(shè)計的可靠性和縮減開發(fā)周期的一種使用范圍較小的設(shè)計語言 。VHDL翻譯成中文就是超高速集成電路硬件描述語言,主要是應(yīng)用在數(shù)字電路的設(shè)計中。目前,它在中國的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計中。當然在一些實力較為雄厚的單位,它也被用來設(shè)計ASIC。

          VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式、描述風格以及語法是十分類似于一般的計算機高級語言。VHDL的程序結(jié)構(gòu)特點是將一項工程設(shè)計,或稱設(shè)計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分。在對一個設(shè)計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實體。這種將設(shè)計實體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計的基本點。

          · VHDL
          · VHDL 程序舉例
          · Verilog HDL和VHDL的比較



          驗證碼:

          19# soothmusic 說:2014-07-20 06:10
          回答18# wyf86:
          上手快
          18# wyf86 說:2014-07-19 22:31
          從VHDL或者Verilog開始入手學(xué)習(xí)FPGA,是否比較快捷?
          17# EEPW521 說:2014-06-18 22:13
          回答16# eepwlover:
          這兩種語言都是用于數(shù)字電子系統(tǒng)設(shè)計的硬件描述語言,而且都已經(jīng)是 IEEE 的標準。
          16# eepwlover 說:2014-06-17 21:26
          VHDL與HDL什么關(guān)系?
          15# 活詞典 說:2014-05-19 21:37
          VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。
          14# wyf86 說:2014-04-15 22:47
          回答13# soothmusic:
          十分類似于一般的計算機高級語言
          13# soothmusic 說:2014-04-14 22:56
          VHDL一大特色是不是像高級語言?
          12# 云端 說:2014-02-18 21:39
          回答11# wyf86:
          看看這里http://www.ex-cimer.com/article/185558.htm
          11# wyf86 說:2014-02-17 22:39
          數(shù)字鎖相環(huán)是一種相位反饋控制系統(tǒng),基于VHDL的不怎么了解
          10# wyf86 說:2013-11-07 21:19
          回答9# soothmusic:
          非常可以啊,為它而來。
          9# soothmusic 說:2013-11-06 22:11
          VHDL的系統(tǒng)硬件描述能力很強大,可以描述門級電路?
          8# 活詞典 說:2013-10-05 22:35
          回答7# 云端:
          美國國防部
          7# 云端 說:2013-10-04 21:51
          VHDL語言,能縮減開發(fā)周期并提高可靠性,它是由誰設(shè)計開發(fā)的?
          6# soothmusic 說:2013-09-30 21:58
          回答5# eepwlover:
          支持隨機電路、異步電路、同步電路等電路的設(shè)計。
          5# eepwlover 說:2013-09-29 23:09
          VHDL所擁有的,其他硬件描述語言所不能比的功能是什么?
          4# soothmusic 說:2013-09-25 22:11
          回答3# eepwlover:
          FPGA/CPLD/EPLD
          3# eepwlover 說:2013-09-24 23:57
          VHDL主要應(yīng)用在哪些設(shè)計中?
          2# 云端 說:2013-09-22 22:25
          EEPW上,學(xué)習(xí)FAGA開發(fā)的VHDL,有沒有專門的論壇模塊?
          EEPW521回答:2013-09-23
          同學(xué),詳見這里:http://forum.eepw.com.cn/forum/287/1
          1# EEPW網(wǎng)友 說:2013-09-10 22:15
          自IEEE-1076(簡稱87版)之后,各EDA公司相繼推出自己的VHDL設(shè)計環(huán)境,或宣布自己的設(shè)計工具可以和VHDL接口。
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();