<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 新品快遞 > 新思科技發(fā)布業(yè)界第一款集成化混合原型驗(yàn)證解決方案

          新思科技發(fā)布業(yè)界第一款集成化混合原型驗(yàn)證解決方案

          作者: 時(shí)間:2012-06-07 來源:電子產(chǎn)品世界 收藏

            全球領(lǐng)先的電子器件和系統(tǒng)設(shè)計(jì)、驗(yàn)證和制造軟件及知識(shí)產(chǎn)權(quán)(IP)供應(yīng)商新思科技公司(, Inc., 納斯達(dá)克股票市場(chǎng)代碼:SNPS)日前宣布了一種集成化混合原型驗(yàn)證解決方案,它將的Virtualizer虛擬原型驗(yàn)證和基于FPGA的HAPS原型驗(yàn)證結(jié)合在一起,以加速系統(tǒng)級(jí)芯片()硬件和軟件的開發(fā)。通過對(duì)新設(shè)計(jì)的功能使用Virtualizer虛擬原型技術(shù)和對(duì)重用邏輯使用基于FPGA的HAPS原型技術(shù),設(shè)計(jì)師能夠?qū)⒃O(shè)計(jì)周期中軟件開發(fā)的起始時(shí)間提前多達(dá)12個(gè)月。此外,Synopsys的混合原型設(shè)計(jì)解決方案可確保設(shè)計(jì)師加速對(duì)硬件/軟件的集成及系統(tǒng)驗(yàn)證,顯著縮短了整體的產(chǎn)品設(shè)計(jì)周期。憑借ARM Cortex處理器的高性能模型、基于ARM AMBA協(xié)議的事務(wù)處理器以及DesignWare IP,開發(fā)者可為了最貼近他們的設(shè)計(jì)需求,而方便地將其基于ARM處理器的設(shè)計(jì)進(jìn)行分割分別進(jìn)入到虛擬的和基于FPGA的原型中。

          本文引用地址:http://www.ex-cimer.com/article/133296.htm

            目前,設(shè)計(jì)師在構(gòu)建原型時(shí)使用兩種相對(duì)獨(dú)立的方法:基于事務(wù)級(jí)模型(TLM)的虛擬原型驗(yàn)證和基于FPGA的原型驗(yàn)證。虛擬原型驗(yàn)證通過執(zhí)行快速TLM而完美地適用于在沒有RTL時(shí)加快的軟件開發(fā),并提供了更高效的糾錯(cuò)和腳本分析。基于FPGA的原型設(shè)計(jì)可提供周期精準(zhǔn)和高性能的執(zhí)行,以及直接真實(shí)接口連接。Synopsys的混合原型設(shè)計(jì)解決方案將Virtualizer虛擬原型和HAPS基于FPGA原型兩者的優(yōu)勢(shì)精心調(diào)和在一起,以使軟件開發(fā)和系統(tǒng)集成能在項(xiàng)目周期中更快完成。

            “不斷增加的復(fù)雜性與軟件內(nèi)容與多核關(guān)聯(lián)在了一起,意味著系統(tǒng)工程師和軟件開發(fā)者不能夠等待硬件就位才開始他們的工作,因此他們?cè)絹碓蕉嗟厥褂闷湫酒拖到y(tǒng)的原型,”研究公司VDC Research的嵌入式軟件及硬件副總裁 Chris Rommel說道。“Synopsys的‘混合’方法解決了單一SoC原型驗(yàn)證方法的許多限制,它使開發(fā)者可以隨意地將RTL之前的事務(wù)級(jí)模型與已經(jīng)存在或正在開發(fā)的RTL混合在一起,為設(shè)計(jì)團(tuán)隊(duì)的硬件及軟件開發(fā)帶來大幅度的提前。”

            Synopsys的混合原型驗(yàn)證解決方案增強(qiáng)了軟件棧驗(yàn)證,這是因?yàn)橥ㄟ^使用Virtualizer虛擬原型可帶來非常高的處理器執(zhí)行速度。它通過模擬PHY或測(cè)試設(shè)備直接連接到真實(shí)世界,該I/O模型接口疊加在基于FPGA的HAPS上。此外,設(shè)計(jì)師把已有的RTL 或IP用在基于FPGA的原型和把新功能用在SystemC事務(wù)級(jí)模型中,這樣的方法在項(xiàng)目開發(fā)中可以更快地執(zhí)行和更早地實(shí)現(xiàn)。

            Synopsys的高性能HAPS通用多資源總線(UMRBus)物理連接,可高效地在虛擬和基于FPGA原型驗(yàn)證兩種環(huán)境之間傳輸數(shù)據(jù)。預(yù)先驗(yàn)證的、基于HAPS的事務(wù)處理器可支持ARM AMBA 2.0 AHB™/APB™、AXI3™、AXI-4™和AXI4-Lite™互聯(lián),它為設(shè)計(jì)師在虛擬或基于FPGA的原型驗(yàn)證環(huán)境之間分割SoC設(shè)計(jì)提供了很大的靈活性,分割可在AMBA 互聯(lián)的通常的模塊級(jí)邊界進(jìn)行。與傳統(tǒng)基于FPGA的原型設(shè)計(jì)相比,使用混合原型中的基于Virtualizer環(huán)境的軟件糾錯(cuò)能力,用戶對(duì)正在開發(fā)的軟件的寄存器和存儲(chǔ)器文件擁有更大的可見度和控制能力。

            “混合原型方案給設(shè)計(jì)團(tuán)隊(duì)提供了硬件和軟件兩種原型設(shè)計(jì)方法必須提供的最佳優(yōu)勢(shì),”Synopsys公司IP和系統(tǒng)市場(chǎng)營(yíng)銷副總裁John Koeter說道。“將Virtualizer虛擬原型技術(shù)的優(yōu)勢(shì)與HAPS基于FPGA的原型技術(shù)的優(yōu)勢(shì)通過UMRBus物理聯(lián)接整合在一起,Synopsys可使設(shè)計(jì)師更快地、在設(shè)計(jì)周期中更早地開發(fā)出完全可運(yùn)行的SoC原型,并加速了軟件開發(fā)和對(duì)整個(gè)系統(tǒng)的驗(yàn)證。”

            供貨

            這種混合原型驗(yàn)證解決方案現(xiàn)已可向早期采用者供貨。



          關(guān)鍵詞: Synopsys SoC

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();