<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          FPGA時(shí)序收斂

          作者: 時(shí)間:2010-10-08 來(lái)源:網(wǎng)絡(luò) 收藏

          本文引用地址:http://www.ex-cimer.com/article/151450.htm

            看起來(lái)好像一切都已經(jīng)同步化,但是 nibble_proc 采用乘積項(xiàng) divide_by_4 對(duì)來(lái)自時(shí)鐘域sys_clk_bufg 的 nibble_wide_data 進(jìn)行采樣。由于路由延遲,divde_by_4 與 sys_clk_bufg 之間并無(wú)明確的相位關(guān)系。將 divide_by_4 轉(zhuǎn)移到 BUFG 也于事無(wú)補(bǔ),因?yàn)榇诉M(jìn)程會(huì)產(chǎn)生路由延遲。解決方法是將 nibble_proc 保持在 sys_clk_bufg 域,并且采用 divide_by_4 作為限定符,如下所示。

            

          程序

            約束的重要性

            如果您希望自己的邏輯正確運(yùn)行,則必須采用正確的約束。如果您已經(jīng)慎重確保代碼全部同步且注冊(cè)了全部 I/O,則這些步驟可以顯著簡(jiǎn)化。在采用上述代碼并且假定系統(tǒng)時(shí)鐘為100MHz 時(shí),則只需四行代碼就可以輕松完成時(shí)序約束文件,如下所示:

            

            請(qǐng)注意:賽靈思 中 I/O 注冊(cè)邏輯的建立與保持時(shí)間具有很高的固定性,在一個(gè)封裝中切勿有太大更改。但是,我們?nèi)匀徊捎盟鼈儯饕米骺纱_保設(shè)計(jì)符合其系統(tǒng)參數(shù)的驗(yàn)證步驟。

            三步簡(jiǎn)單操作

            僅需遵循以下三步簡(jiǎn)單操作,設(shè)計(jì)人員即可輕松實(shí)施可靠的代碼。

            • 切勿讓綜合工具猜測(cè)您的預(yù)期。采用賽靈思原語(yǔ)對(duì)所有 I/O 引腳和關(guān)鍵邏輯進(jìn)行明確定義。確保定義 I/O 引腳的電氣特性;

            • 確保邏輯 100% 同步,并且讓所有邏輯參考主時(shí)鐘域;

            • 應(yīng)用時(shí)序約束確保時(shí)序。

            只要遵循上述三個(gè)步驟,您就能夠消除綜合與時(shí)序?qū)е碌牟町?。掃除這兩個(gè)主要障礙會(huì)讓您獲得具有 100% 可靠性的代碼。


          上一頁(yè) 1 2 3 4 下一頁(yè)

          關(guān)鍵詞: 收斂 時(shí)序 FPGA

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();