<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 手機(jī)與無(wú)線通信 > 設(shè)計(jì)應(yīng)用 > AMBE-1000聲碼器芯片在語(yǔ)音通信系統(tǒng)中的應(yīng)用

          AMBE-1000聲碼器芯片在語(yǔ)音通信系統(tǒng)中的應(yīng)用

          作者: 時(shí)間:2010-10-27 來(lái)源:網(wǎng)絡(luò) 收藏

            2.3.1 與PCM的接口電路

            要求PCM數(shù)據(jù)以串行的方式輸入、輸出。該接口電路的關(guān)鍵在于PCM數(shù)據(jù)的幀同步和比特位同步,具體實(shí)現(xiàn)電路如圖8所示。

            

            其中,輸入的8kHz時(shí)鐘源用于比特位同步,2.048MHz時(shí)鐘源用于幀同步。D觸發(fā)器和反向器用于兩個(gè)時(shí)鐘源的同步。

            2.3.2 控制引腳設(shè)置

            的引腳設(shè)置主要包括傳輸接口的設(shè)置,也就是對(duì)串行與并行、主動(dòng)與被動(dòng)、幀與非幀的傳輸接口模式設(shè)置,還包括特定功能的設(shè)置。

            傳輸接口的串、并行設(shè)置就是選擇壓縮數(shù)據(jù)是以8比特寬并行方式輸入、輸出,還是以串行的方式輸入、輸出。的主動(dòng)、被動(dòng)模式是指壓縮語(yǔ)音數(shù)據(jù)輸入、輸出的選通脈沖信號(hào)是由AMBE-1000提供還是由外部提供。幀與非幀的模式是指輸入、輸出數(shù)據(jù)是由外部提供。幀與非幀的模式是指輸入、輸出數(shù)據(jù)是否用AMBE-1000的固定數(shù)據(jù)幀格式封裝。在本中AMBE-1000設(shè)置為主支、串行、幀格式格式下工作。

            AMBE-1000特定功能的設(shè)置包括AD/DA轉(zhuǎn)換格式、語(yǔ)音編碼速率、FEC速率、VAD使能、CNI使能、回音使能、DTMF處理以及低功耗模式等。引腳設(shè)置為芯片設(shè)置了加電時(shí)自動(dòng)進(jìn)入的默認(rèn)狀態(tài)。以上部分設(shè)置還可以通過(guò)AMBE-1000的軟件控制字進(jìn)行更改,引腳設(shè)置的電路可以采用跳線座和跳線帽來(lái)實(shí)現(xiàn),以方便硬設(shè)置的更改。

            

            2.3.3 壓縮語(yǔ)音數(shù)據(jù)的輸入輸出電路

            壓縮語(yǔ)音數(shù)據(jù)的輸入輸出電路主要是指?jìng)鬏斀涌诘脑O(shè)置電路以及與標(biāo)準(zhǔn)串口DB-9的連接電路。本傳輸接口的設(shè)置為主動(dòng)、串行、幀格式模式,也就是CH_SEL2、CH_SEL1、CH_SEL0(98、99、2引腳)設(shè)置為0、1、0。圖9為芯片與DB-9的簡(jiǎn)化連接電路圖。

            其中,AMBE-1000中的CHS_I_CLK(串行輸入時(shí)鐘)CHS_O_CLK(串行輸出時(shí)鐘)、CHS_I_STRB(輸入數(shù)據(jù)選通)、CHS_)_STRB(輸出數(shù)據(jù)選通)、CHS_SYNC(串行同步)用于輸入輸出的時(shí)鐘同步。


          上一頁(yè) 1 2 3 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();