<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 手機(jī)與無線通信 > 設(shè)計(jì)應(yīng)用 > 基于FPGA視頻采集中的I2C總線設(shè)計(jì)與實(shí)現(xiàn)

          基于FPGA視頻采集中的I2C總線設(shè)計(jì)與實(shí)現(xiàn)

          作者: 時(shí)間:2010-06-03 來源:網(wǎng)絡(luò) 收藏



          4 仿真結(jié)果
          中利用QuartusⅡ集成環(huán)境采用VHDL語言對SAA7111中集成的symbol進(jìn)行實(shí)驗(yàn)仿真。reset信號為外接復(fù)位信號,時(shí)鐘信號根據(jù)需要外接所需頻率時(shí)鐘信號(clk),并根據(jù)要求對信號進(jìn)行16分頻作為進(jìn)程激勵信號(clkin)。對于上述初始化數(shù)據(jù),仿真波形如圖5所示仿真結(jié)果輸出正確后,配置與電路相符的入/輸出引腳。根據(jù)測試結(jié)果,該設(shè)計(jì)可以穩(wěn)定可靠地對SAA7111進(jìn)行參量控制,如果需要修改只需更換程序中參量,再次編譯下載即可。



          5 結(jié)語
          該設(shè)計(jì)在采集處理系統(tǒng)中已得到應(yīng)用,接口數(shù)據(jù)采集正確、穩(wěn)定,并且在過程中,占用資源少,僅為總資源的40 %。核心器件與圖像數(shù)據(jù)采集芯片配合使用,節(jié)省核心處理芯片的通用I/O接口,使系統(tǒng)整體電路十分簡潔、可靠性高、集成度高、接口方便等優(yōu)點(diǎn)。


          上一頁 1 2 3 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();