<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          關(guān) 閉

          新聞中心

          EEPW首頁(yè) > 工控自動(dòng)化 > 設(shè)計(jì)應(yīng)用 > 利用有限狀態(tài)機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)與仿真

          利用有限狀態(tài)機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)與仿真

          作者: 時(shí)間:2012-03-09 來(lái)源:網(wǎng)絡(luò) 收藏

          摘要:基于硬件電路軟件化的思想,根據(jù)路口燈控制功能要求,以可編程邏輯器件(FPGA)為硬件基礎(chǔ),以機(jī)為基礎(chǔ),通過(guò)對(duì)系統(tǒng)及其轉(zhuǎn)移關(guān)系的定義,運(yùn)用多進(jìn)程方式描述硬件模塊的邏輯關(guān)系,用VHDL語(yǔ)言編程實(shí)現(xiàn)了,經(jīng),并在實(shí)驗(yàn)箱上進(jìn)行功能測(cè)試,正確實(shí)現(xiàn)了預(yù)期功能。僅用一片可編程邏輯器件,即完成需要的控制功能,思路清晰,實(shí)現(xiàn)過(guò)程靈活。
          關(guān)鍵詞:機(jī);燈;;設(shè)計(jì)

          實(shí)現(xiàn)路口交通燈控制器系統(tǒng)的方法很多,可以用標(biāo)準(zhǔn)邏輯器件、可編程序控制器PLC、單片機(jī)等方案來(lái)實(shí)現(xiàn)。但是這些方法在進(jìn)行功能修改及調(diào)試時(shí),都涉及硬件電路的調(diào)整,在一定程度上增加了工作的難度。隨著電子技術(shù)的迅猛發(fā)展,集成電路的設(shè)計(jì)方法也在不斷地更新,傳統(tǒng)的“固定功能集成電路+連線”的手工電子設(shè)計(jì)方法已被現(xiàn)代的對(duì)“芯片”進(jìn)行設(shè)計(jì)的電子設(shè)計(jì)自動(dòng)化(EDA)的設(shè)計(jì)方法所替代,使用“語(yǔ)言”進(jìn)行電子電路設(shè)計(jì)已成為一種趨勢(shì)。筆者設(shè)計(jì)開(kāi)發(fā)的交通信號(hào)燈控制器系統(tǒng),采用EDA技術(shù)和可編程邏輯器件FPGA,應(yīng)用VHDL狀態(tài)機(jī)來(lái)設(shè)計(jì)的控制功能,并可根據(jù)實(shí)際情況對(duì)燈亮?xí)r間進(jìn)行自由調(diào)整,整個(gè)系統(tǒng)通過(guò)OuartusⅡ軟件平臺(tái)進(jìn)行了,并下載到FPGA器件EP1C120240C8中進(jìn)行調(diào)試,驗(yàn)證了設(shè)計(jì)的交通信號(hào)燈控制電路完全可以實(shí)現(xiàn)預(yù)定的功能。該系統(tǒng)可以較好地緩解交通壓力,并可實(shí)現(xiàn)對(duì)突發(fā)事件進(jìn)行緊急處理,具有一定的實(shí)用性。

          1 有限狀態(tài)機(jī)的基本特性
          有限狀態(tài)機(jī)FSM(finite state machine)由有限的狀態(tài)及其相互之間的轉(zhuǎn)移關(guān)系構(gòu)成。從有限狀態(tài)機(jī)的角度看,許多數(shù)字系統(tǒng)中的時(shí)序電路都可以用其來(lái)描述,因此,有限狀態(tài)機(jī)是一種重要的、易于建立的、比較規(guī)范、以描述控制特性為主的建模方法,它可以應(yīng)用于從系統(tǒng)分析到設(shè)計(jì)的所有階段。同時(shí),因?yàn)橛邢逘顟B(tài)機(jī)具有有限個(gè)狀態(tài),所以可以在實(shí)際的工程上實(shí)現(xiàn)。但這并不意味著其只能進(jìn)行有限次的處理。相反,有限狀態(tài)機(jī)是閉環(huán)系統(tǒng),可以用有限的狀態(tài),處理無(wú)窮的事務(wù)。
          在用VHDL語(yǔ)言來(lái)設(shè)計(jì)實(shí)用系統(tǒng)的控制功能時(shí),通常會(huì)選用有限狀態(tài)機(jī)方法來(lái)實(shí)現(xiàn),因?yàn)闊o(wú)論與VHDL的其他設(shè)計(jì)方案相比,還是與可完成相同功能的CPU相比,有限狀態(tài)機(jī)有其獨(dú)特的、難以超越的優(yōu)越性,主要表現(xiàn)在以下幾個(gè)方面:
          1)有限狀態(tài)機(jī)由純硬件來(lái)實(shí)現(xiàn),工作方式是根據(jù)控制信號(hào)按照預(yù)先設(shè)定的狀態(tài)進(jìn)行順序運(yùn)行,在運(yùn)行和控制方式上類(lèi)似于控制靈活和方便的CPU,而在設(shè)計(jì)中能使用各種完整的容錯(cuò)技術(shù),使其在運(yùn)行速度和工作可靠性方面又都優(yōu)于CPU。
          2)用VHDL設(shè)計(jì)有限狀態(tài)機(jī),設(shè)計(jì)流程和方案相對(duì)固定,程序?qū)哟畏置?,程序結(jié)構(gòu)簡(jiǎn)單清晰,特別是可以定義符號(hào)化枚舉類(lèi)型的狀態(tài),使VHDL綜合器對(duì)狀態(tài)機(jī)具有強(qiáng)大的優(yōu)化功能。
          3)狀態(tài)機(jī)容易構(gòu)成性能良好的同步時(shí)序模塊,為了消除電路中的毛刺現(xiàn)象,在有限狀態(tài)機(jī)設(shè)計(jì)中有多種設(shè)計(jì)方案可供選擇,相比其他硬件設(shè)計(jì)方法,電路的完善性更能得到保證。
          因此,在控制靈活、高速、高可靠性要求的系統(tǒng)設(shè)計(jì)中應(yīng)用VHDL設(shè)計(jì)有限狀態(tài)機(jī)將是非常實(shí)用的選擇。
          VHDL的有限狀態(tài)機(jī)設(shè)計(jì)不同實(shí)用邏輯控制系統(tǒng)時(shí),通常采用枚舉類(lèi)型來(lái)定義狀態(tài)機(jī)的狀態(tài),這樣可以獲得可綜合的、高效的VHDL描述,并且使用多進(jìn)程方式來(lái)描述狀態(tài)機(jī)的內(nèi)部邏輯。例如:可用兩個(gè)進(jìn)程來(lái)描述,一個(gè)進(jìn)程描述時(shí)序邏輯功能,通常稱(chēng)為時(shí)序進(jìn)程;另一個(gè)進(jìn)程描述組合邏輯功能,即組合進(jìn)程,必要時(shí)還可以引入第3個(gè)進(jìn)程完成其他的邏輯功能,另外還需要相應(yīng)的說(shuō)明部分,在說(shuō)明部分用TYPE定義新的數(shù)據(jù)類(lèi)型和狀態(tài)名,以及在此新數(shù)據(jù)下定義的狀態(tài)變量。

          2 基于有限狀態(tài)機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)
          2.1 功能分析
          作為一個(gè)十字路口交通信號(hào)燈控制系統(tǒng),每條道路都需要有一組紅、綠、黃燈和倒計(jì)時(shí)計(jì)數(shù)器,用于指揮車(chē)輛的有序通行。為便于區(qū)分,將十字路口交通信號(hào)燈分為主路a和支路b,應(yīng)具有以下功能:
          1)主路a和支路b各設(shè)置兩組(雙向)紅燈、綠燈、黃燈,以指示通行狀態(tài):同時(shí)還設(shè)置數(shù)字式的時(shí)間顯示,以倒計(jì)時(shí)方式顯示每一路允許通行或禁止通行的剩余時(shí)間。
          2)具有復(fù)位功能,當(dāng)出現(xiàn)故障時(shí),可復(fù)位回到初始設(shè)置狀態(tài)。
          3)當(dāng)主路a或支路b出現(xiàn)緊急情況時(shí),按緊急情況鍵可進(jìn)入緊急情況狀態(tài),各方向(兩路)均亮紅燈,倒計(jì)時(shí)停止。當(dāng)特殊情況結(jié)束時(shí),控制其恢復(fù)到電路的原來(lái)狀態(tài)繼續(xù)運(yùn)行。
          4)主路a或支路b的通行時(shí)間可在一定范圍自定義設(shè)置。
          2.2 交通燈控制系統(tǒng)整體設(shè)計(jì)
          按照功能分析的要求,自頂向下,設(shè)計(jì)交通燈控制系統(tǒng)的整體組成框圖如圖1所示,它主要由分頻模塊,交通燈控制與倒計(jì)時(shí)模塊和動(dòng)態(tài)掃描顯示控制模塊組成。分頻電路用于產(chǎn)生倒計(jì)時(shí)控制電路所需的周期為1 s的時(shí)鐘信號(hào)頻率;倒計(jì)時(shí)控制電路控制交通信號(hào)燈(紅、綠、黃)的亮燈時(shí)間和亮燈順序。根據(jù)對(duì)VHDL的使用熟悉程度,可對(duì)各模塊繼續(xù)分解,可視情而定。

          本文引用地址:http://www.ex-cimer.com/article/160987.htm

          b.JPG


          2.3 控制與倒計(jì)時(shí)模塊的有限狀態(tài)機(jī)設(shè)計(jì)
          2.3.1 建立狀態(tài)轉(zhuǎn)移圖
          在交通燈控制系統(tǒng)中,交通燈控制與倒計(jì)時(shí)模塊是系統(tǒng)的核心部分,采用有限狀態(tài)機(jī)設(shè)計(jì)實(shí)現(xiàn)。主路a和支路b分別控制該方向上紅、綠、黃信號(hào)燈的亮燈時(shí)間和亮燈順序,正常工作時(shí)共有St0、St1、St2、St3 4種狀態(tài),每個(gè)狀態(tài)之間的具體關(guān)系如表1所示,其中,red1_cn t、green1_cnt、yellow1_cnt和red2_cnt、green2_cnt、yellow2_cnt分別表示主路a和支路b上紅綠黃燈所亮燈的時(shí)間,這里可自己定義倒計(jì)時(shí)時(shí)間。其狀態(tài)轉(zhuǎn)換圖如圖2所示。ent為倒計(jì)時(shí)的計(jì)數(shù)值,同時(shí)用它來(lái)判斷是否進(jìn)入下一個(gè)狀態(tài)。

          e.JPG


          上一頁(yè) 1 2 3 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();