<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 消費電子 > 設計應用 > 基于DSP Builder的帶寬自適應全數(shù)字鎖相環(huán)的設計與實現(xiàn)

          基于DSP Builder的帶寬自適應全數(shù)字鎖相環(huán)的設計與實現(xiàn)

          作者: 時間:2010-10-14 來源:網(wǎng)絡 收藏

          傳統(tǒng)的在結構上希望通過采用具有低通特性的環(huán)路濾波,從而獲得穩(wěn)定的振蕩控制數(shù)據(jù)。但是,在邏輯電路系統(tǒng)中,利用邏輯算法低通濾波是比較困難的。于是,出現(xiàn)了一些脈沖序列低通濾波計數(shù)電路,其中最為常見的是“N先于M”環(huán)路濾波器。這些電路通過對鑒相模塊產生的相位誤差脈沖進行計數(shù)運算,獲得可控振蕩器模塊的振蕩控制參數(shù)。脈沖序列低通濾波計
          數(shù)方法是一個比較復雜的非線性處理過程,難以進行線性近似,所以無法采用系統(tǒng)傳遞函數(shù)分析方法確定鎖中的參數(shù),以及進一步分析鎖相性能。在設計方法上多采用VHDL語言或者Verilog HDL語言編程完成系統(tǒng)設計,并利用EDA軟件對系統(tǒng)進行時序仿真,以驗證設計的正確性。該種設計方法就要求設計者對FPGA硬件有一定的了解,并且具有扎實的硬件描述語言編程基礎。

          本文采用一種比例積分(PI)控制算法的環(huán)路濾波器應用于的全數(shù)字鎖相環(huán),建立了該鎖相環(huán)的數(shù)學模型,并分析該鎖相環(huán)的各項性能指標和設計參數(shù)之間的關系。利用 直接對得到的鎖相環(huán)數(shù)學模型在Matlab/Simulink環(huán)境下進行系統(tǒng)級的建模,并進行計算機仿真,同時將建立的模型文件轉換成VHDL程序代碼,在QuartusⅡ軟件中進行仿真驗證,并用FPGA予以

          1 全數(shù)字鎖相環(huán)的理論分析
          1.1 PI控制的模擬鎖相環(huán)的理論分析

          鎖相回路是一個負反饋系統(tǒng),主要由鑒相器(PD)、環(huán)路濾波器(LF)和壓控振蕩器(VCO)三個部分組成。鑒相器的作用是計算輸入信號和輸出信號的之間的相位誤差。環(huán)路濾波器的主要作用是抑制噪聲及高頻分量,并且控制著環(huán)路相位校正的速度與精度。為了能夠提高鎖相系統(tǒng)的性能,本文采用基于PI控制算法的一階低通濾波器,即將鑒相模塊鑒別出的相位誤差大小乘以一定的比例系數(shù)而產生一個比例控制參數(shù),同時對相位誤差大小進行積分,并在積分系數(shù)的調節(jié)下產生一個積分控制參數(shù),最終取比例和積分控制參數(shù)的和作為該環(huán)節(jié)的控制參數(shù)。壓控振蕩器的作用就是利用輸入的電壓值控制輸出信號的頻率。設壓控振蕩器的輸入信號為V0(t),輸出信號的頻率為ω0+KV0(t),則輸出信號的相位:

          式中:,則壓控振蕩器的傳遞函數(shù)為:HVCO(s)=θf(s)/V0(t)=K/s,可以看出壓控振蕩器相當于一個固有積分環(huán)節(jié)。在該設計中取壓控振蕩器的增益K=1,則通過以上的分析可得基于PI控制算法的模擬鎖相環(huán)結構框圖如圖1所示。

          本文引用地址:http://www.ex-cimer.com/article/166449.htm


          由圖1可以得出,該鎖相回路的閉環(huán)傳遞函數(shù)為:

          不難看出該系統(tǒng)是一個典型的二階系統(tǒng),那么二階模擬鎖相環(huán)的閉環(huán)傳遞函數(shù)可表示為:

          式中:Kp和Kl分別為比例系數(shù)和積分系數(shù),取為系統(tǒng)的自然頻率;ζ為系統(tǒng)的阻尼系數(shù)。
          1.2 全數(shù)字鎖相環(huán)的理論分析
          對上述模擬鎖相環(huán)的s域傳遞函數(shù)進行離散化處理,采用脈沖響應不變法即可得到全數(shù)字鎖相環(huán)回路的閉環(huán)傳遞函數(shù)為:


          于是可以得到基于參數(shù)K1和K2的全數(shù)字鎖相環(huán)的結構圖如圖2所示。

          數(shù)字濾波器相關文章:數(shù)字濾波器原理
          鎖相環(huán)相關文章:鎖相環(huán)原理
          鎖相放大器相關文章:鎖相放大器原理

          上一頁 1 2 3 下一頁

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();