<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 基于PC104總線的429接口板

          基于PC104總線的429接口板

          作者: 時間:2010-08-16 來源:網(wǎng)絡(luò) 收藏

            3 CPLD內(nèi)部功能及實現(xiàn)


          3.1 開發(fā)流程描述
            

          本系統(tǒng)中的CPLD使用Altera公司的MAX7000S系列可編程邏輯器件中的EPM7128SQC100-6型號,從最初的電路設(shè)計思想到MAX+PLUSII的波形仿真,再到CPLD芯片編程結(jié)束要經(jīng)過的一般開發(fā)流程如圖4所示。


          3.2 CPLD中的模塊設(shè)計
            

          本設(shè)計中CPLD 的功能是實現(xiàn)ARINC429收發(fā)電路與板的的數(shù)據(jù)通信。其功能模塊可以分為6部分,以下逐一介紹各模塊的功能及其實現(xiàn)的方法。
            

          (1)產(chǎn)生AR1NC429控制器HS3282所需的TTCLK時鐘信號模塊
            

          TTCLK即發(fā)射器時鐘信號,本設(shè)計中該信號有480 KHZ和1 MHZ兩種可選頻率,是由一個48 MHZ的晶振提供信號給CPLD,然后由CPLD編程產(chǎn)生480KHZ和1MHZ兩種信號以備選擇。該模塊用圖形編輯的方式實現(xiàn)。要產(chǎn)生3282所需要的480KHZ信號需要對輸入48MHZ信號進(jìn)行兩次10分頻,要產(chǎn)生1 MHZ信號需要對輸入信號進(jìn)行6分頻再8分頻。6分頻電路采用3個JK觸發(fā)器實現(xiàn),8分頻電路采用74393實現(xiàn),10分頻電路采用7490實現(xiàn)。
            

          (2)產(chǎn)生復(fù)位信號/MR和控制發(fā)射器使能信號ENTX的信號ENT模塊
          /MR是對3282的主復(fù)位信號,/MR將直接送到HS3282,而ENT將送到另一模塊中,用于控制發(fā)射器使能信號ENTX的產(chǎn)生,ENTX=ENT*/TXR,其中TXR為發(fā)送緩沖區(qū)空標(biāo)志。該模塊也采用電路設(shè)計輸入方式。其電路主要由4個D型觸發(fā)器74LS74芯片來完成。輸入為驅(qū)動器的前4個輸出,即D0~D3,時鐘脈沖為產(chǎn)生HS3282讀寫信號模塊的一個輸出信號/WR3,輸出為兩個HS3282的復(fù)位信號/MR1和/MR2以及ENT1和ENT2。本模塊具體實現(xiàn)電路如圖5所示。

           



          關(guān)鍵詞: 接口 總線 PC104 基于

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();