<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 基于PC104總線的429接口板

          基于PC104總線的429接口板

          作者: 時間:2010-08-16 來源:網(wǎng)絡(luò) 收藏

          begin
          WR6=XIOW or MCS or A4 or(not A3) or(not A2)or A1;
          WR5=XIOW or MCS or A4 or(not A3) or A2 or(not A1);
          WR4=XIOW or MCS or A4 or(not A3) or A2 or A1;
          WR3=XIOW or MCS or A4 or A3 or(not A2)or(not A1);
          WR2=XIOW or MCS or A4 or A3 or(not A2)or A1;
          WR1=XIOW or MCS or A4 or A3 or A2 or(not A1);
          WR0=XIOW or MCS or A4 orA3 or A2 or A1; --產(chǎn)生寫信號
          if MCS='0' then
          IO16=MCS;
          else
          IO16='Z';
          endif;
          RD4=XIOR or MCS or(notA4)orA3 or A2;
          RD3=XIOR or MCS or A4 or(not A3)or(not A2);
          RD2=XIOR or MCS or A4 or(not A3)or A2;
          RDI=XIOR or MCS or A4 or A3 or(not A2)
          RD0=XIOR or MCS or A4 or A3 orA2;--產(chǎn)生讀信號
          ENTX1=ENT1 and(not TXR1);
          ENTX2=ENT2 and(not TXR2);--產(chǎn)生發(fā)送使能信號
          end process;
          end behav;

          本文引用地址:http://www.ex-cimer.com/article/173258.htm


          (6)中斷控制模塊


          本模塊用于實現(xiàn)中斷控制操作,有一個接收器滿便產(chǎn)生中斷,產(chǎn)生中斷時亦能判斷出中斷源。該模塊是用VHDL輸入方式產(chǎn)生的,其相應(yīng)的VHDL程序如下
          library ieee;
          use ieee.std logic_1164.all;
          entity U32 is
          port(TXR1,DR11,DR12,TXR2,DR21,DR22,RD4:in std_logic;
          D0,D1,D2,D3,D4,D5,INT:out std_logic);
          end U32;
          architecture behav of U32 is
          begin
          process
          begin
          if RD4='0' then
          D0=not DR11:
          D1=not DR12;
          D2=not DR21;
          D3=not DR22;
          --產(chǎn)生中斷時用來判斷哪個接收器滿
          D4=TXR1;
          D5=TXR2;
          --用來判斷哪一個發(fā)送緩沖區(qū)空
          else
          D0='Z';D1'Z';D2='Z';D3='Z';D4='Z';D5='Z';
          endif;
          INT=not(DR11 and DR12 and DR21 and DR22); --有一個接收器滿便產(chǎn)生中斷
          end process;
          end behav;


          3.3 CPLD程序的下載
            

          各輸入模塊經(jīng)過編譯處理,根據(jù)其相互關(guān)系連結(jié),再通過功能仿真和時序仿真確認(rèn)無誤后,對各輸入輸出端口進(jìn)行管腳分配。當(dāng)整個設(shè)計完成時,MAX+PLUSII將生成一個文件(.pof),該文件通過下載電纜從JTAG口傳送到板上的CPLD芯片內(nèi)部。這樣就可將CPLD構(gòu)造成自己的專用芯片,由此便可以對設(shè)計的板卡進(jìn)行調(diào)試。調(diào)試過程中CPLD的設(shè)計部分還可根據(jù)需要進(jìn)行修改,只是修改后要重新產(chǎn)生.pof文件,重新將文件加載到芯片中。

          4 結(jié)束語
            

          針對板的尺寸小的特點,本論文介紹了用CPLD實現(xiàn)PC104的429板,CPLD大大節(jié)省了硬件資源,同時也節(jié)省了板卡上的可利用空間,徹底解決了PC104 板卡的小尺寸給設(shè)計帶來的困難。且CPLD修改簡單,給調(diào)試工作帶來了方便。該板卡經(jīng)過調(diào)試后實驗,可穩(wěn)定工作。實驗結(jié)果表明:應(yīng)用CPLD簡化了系統(tǒng)結(jié)構(gòu),縮短了設(shè)計周期,提高了系統(tǒng)的可靠性。


          上一頁 1 2 3 4 下一頁

          關(guān)鍵詞: 接口 總線 PC104 基于

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();