<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 手機(jī)與無(wú)線通信 > 設(shè)計(jì)應(yīng)用 > 基于VHDL語(yǔ)言的卷積碼編解碼器的設(shè)計(jì)

          基于VHDL語(yǔ)言的卷積碼編解碼器的設(shè)計(jì)

          ——
          作者:張建斌 時(shí)間:2007-01-16 來(lái)源:現(xiàn)代電子技術(shù) 收藏
          1 引言

          數(shù)字信息在有噪信道中傳輸時(shí),會(huì)受到噪聲干擾的影響,誤碼總是不可避免的。為了在已知信噪比的情況下達(dá)到一定的誤碼率指標(biāo),在合理設(shè)計(jì)基帶信號(hào),選擇調(diào)制、解調(diào)方式,并采用頻域均衡或時(shí)域均衡措施的基礎(chǔ)上,還應(yīng)采用差錯(cuò)控制編碼等信道編碼技術(shù),使誤碼率進(jìn)一步降低。卷積碼和分組碼是差錯(cuò)控制編碼的2種主要形式,在編碼器復(fù)雜度相同的情況下,卷積碼的性能優(yōu)于分組碼,因此卷積碼幾乎被應(yīng)用在所有無(wú)線通信的標(biāo)準(zhǔn)之中,如GSM ,IS95和CDMA2000的標(biāo)準(zhǔn)中。

          目前,語(yǔ)言已成為EDA領(lǐng)域首選的硬件設(shè)計(jì)語(yǔ)言,越來(lái)越多的數(shù)字系統(tǒng)設(shè)計(jì)使用 語(yǔ)言來(lái)完成。原因是通過(guò)描述的硬件系統(tǒng)“軟核”便于存檔,程序模塊的移植和AS C設(shè)計(jì)源程序的交付更為方便。因此,他在IP核的應(yīng)用等方面擔(dān)任著不可或缺的角色。在某擴(kuò)頻通信系統(tǒng)中,我們使用VHDL語(yǔ)言設(shè)計(jì)了(2,1,6)解碼器,并經(jīng)過(guò)了在FPGA芯片上的驗(yàn)證實(shí)驗(yàn)。

          2 卷積編碼器

          卷積碼通常記作(n0,k0,m),其編碼效率為k0/n0,m稱為約束長(zhǎng)度。(n0 ,k0,m)卷積碼可用k0個(gè)輸入、n0個(gè)輸出、輸入存儲(chǔ)為m的線性有限狀態(tài)移位寄存器及模2加法計(jì)數(shù)器電路來(lái)實(shí)現(xiàn),卷積碼的編碼方法有3種運(yùn)算方式:離散卷積法;生成矩陣法;多項(xiàng)式乘積法。此外,卷積碼的編碼過(guò)程還可以用狀態(tài)圖、碼樹(shù)圖和網(wǎng)格圖來(lái)描述。本文設(shè)計(jì)的編碼器考慮到硬件電路的實(shí)現(xiàn),選擇了多項(xiàng)式乘積法。本系統(tǒng)所選卷積編碼器如圖1所示,該卷積編碼器為(2,1,6)自正交卷積編碼器。

          卷積編碼器

          3 大數(shù)邏輯解碼器

          卷積碼的解碼可分為代數(shù)解碼與概率解碼2類。大數(shù)邏輯解碼器是代數(shù)解碼最主要的解碼方法,他既可用于糾正隨機(jī)錯(cuò)誤,又可用于糾正突發(fā)錯(cuò)誤,但要求卷積碼是自正交碼或可正交碼。 本文所選(2,1,6)系統(tǒng)自正交卷積碼的大數(shù)邏輯解碼器如圖2所示。

          大數(shù)邏輯解碼器

          圖2中,I端輸入信息碼元,P端輸入校驗(yàn)碼元。解碼器把接收到的R(D)中的每一段信息元送入編碼器中求出本地檢驗(yàn)元,與其后面收到的檢驗(yàn)元模2加。若兩者一致,則求出的伴隨式分量si為0,否則為1。把加得的值送入伴隨式寄存器中寄存。當(dāng)接收完7個(gè)碼段后開(kāi)始對(duì)第 0碼段糾錯(cuò),若此時(shí)大數(shù)邏輯門的輸出為1,則說(shuō)明第0碼 段的信息元有錯(cuò)。這時(shí)正好第0子組的信息元移至解碼器的輸出端,從而糾正他們。同時(shí),糾錯(cuò)信號(hào)也反饋至伴隨式 寄存器修正伴隨式,以消去此錯(cuò)誤對(duì)伴隨式的影響。如果大數(shù)判決門沒(méi)有輸出,則說(shuō)明第0子組的信息元沒(méi)有錯(cuò)誤,這時(shí)從編碼器中直接把信息元輸出 。

          4解碼器的VHDL設(shè)計(jì)

          4.1VHDL設(shè)計(jì)的優(yōu)點(diǎn)與設(shè)計(jì)方法

          與傳統(tǒng)的自底向上的設(shè)計(jì)方法不同,VHDL設(shè)計(jì)是從系統(tǒng)的總體要求出發(fā),采用自頂向下( toptodown)的設(shè)計(jì)方法。其程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程 設(shè)計(jì)(或稱設(shè)計(jì)實(shí)體),分成外部(即端口)和內(nèi)部(即功能、算法)。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部端口后,一旦內(nèi)部開(kāi)發(fā)完成,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。

          本設(shè)計(jì)所用VHDL設(shè)計(jì)平臺(tái)是Altera的MAX+PlusⅡ EDA軟件。MAX+PlusⅡ界面友好,使用便捷;他支持VHDL,原理圖,V語(yǔ)言文本文件,以及波形與EDIF等格式的文件作為設(shè)計(jì)輸入;并支持這些文件的任意混合設(shè)計(jì);具有門級(jí)仿真器,可以進(jìn)行功能仿真和時(shí)序仿真,能夠產(chǎn)生精確地仿真結(jié)果;支持除APEX20K,APXⅡ,Mercury,Excalibur和Stratix系列之外的所有Altera FPGA/CPLD大規(guī)模邏輯器件。設(shè)計(jì)中采用的FPGA器件是Altera的FLEX系列芯片F(xiàn)LEX 10K20。用MAX+PlusⅡ軟件進(jìn)行VHDL設(shè)計(jì)的過(guò)程是:

          (1)用Text Editor編寫VHDL程序。
          (2)用Compiler編譯VHDL程序。
          (3)用Waveform Editor,Simulater仿真驗(yàn)證VHDL程序。
          (4)用Timing Analyzer進(jìn)行芯片的時(shí)序分析。
          (5)用Floorplan Editor安排芯片管腳位置。
          (6)用Programer下載程序至芯片F(xiàn)LEX10K20。

          在實(shí)際的開(kāi)發(fā)過(guò)程中,以上個(gè)步驟需反復(fù)進(jìn)行,直至將既定的VHDL設(shè)計(jì)通過(guò)所有的測(cè)試為止 。

          4.2卷積編碼器VHDL頂層建模(top level)及系統(tǒng) 功能仿真

          4.2.1卷積編碼器各功能模塊及頂層建模端口的VHDL描述

          LIBRARY IEEE;

          用MAX+PlusⅡ編譯后生成的編碼器圖形符號(hào)如圖3所示。

          編碼器圖形符號(hào)

          4.2.2卷積編碼器VHDL頂層建模的VHDL仿真波形

          卷積編碼器VHDL仿真波形如圖4所示。仿真前設(shè)置輸入信息序列datain=“1111”,速率為32 kP/s,對(duì)應(yīng)時(shí)鐘為31. 25μs。仿真結(jié)果表明,卷積編碼輸出dataout =“1111101 0010000000001”,相應(yīng)速率為64 kP/s,與理論分析結(jié)果一致。

          卷積編碼器VHDL仿真波形

          4.3卷積解碼器VHDL頂層建模的VHDL端口描述

          4.3.1卷積解碼器各功能模塊及頂層建模端口的VHDL描述

          LIBRARY IEEE;

          用MAX+PlusⅡ編譯后生成的解碼器圖形符號(hào)如圖5所示。

          解碼器圖形符號(hào)

          4.3.2卷積解碼器VHDL頂層建模的VHDL仿真波形

          卷積解碼器VHDL仿真波形如圖6所示。其中待解碼信元datain=“11111010010000000001” ,速率為64kP/s,對(duì)應(yīng)時(shí)鐘為15.625μs。仿真結(jié)果表明,解碼信元輸出dataout =“111 1”,相應(yīng)速率為32kP/s。實(shí)際仿真還驗(yàn)證了當(dāng)卷積解碼器輸入的待解碼信元中有錯(cuò)碼時(shí)的糾錯(cuò)情況,與理論分析結(jié)果一致。

          卷積解碼器VHDL仿真波形

          5結(jié)語(yǔ)

          將通過(guò)仿真的VHDL程序下載到FPGA芯片F(xiàn)LEX10K20上,并在實(shí)際擴(kuò)頻系統(tǒng)中用于差錯(cuò)控制 ,取得了較為滿意的效果。

          參考文獻(xiàn)

          [1]王新梅,肖國(guó)鎮(zhèn).糾錯(cuò)碼—原理與方法[M].西安:西安電子科技大學(xué)出版社,2001.

          [2]褚振勇,翁木云.FPGA設(shè)計(jì)及應(yīng)用[M]. 西安:西安電子科技大學(xué)出版社,2002.

          [3]潘 松,王國(guó)棟.VHDL實(shí)用教程[M].成都:電子科技大學(xué)出版社,2 000 



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();