<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > EDA/PCB > 業(yè)界動(dòng)態(tài) > MathWorks加快FPGA在環(huán)驗(yàn)證

          MathWorks加快FPGA在環(huán)驗(yàn)證

          作者: 時(shí)間:2016-12-19 來源:電子產(chǎn)品世界 收藏

            今日發(fā)布了HDL Verifier中的新功能,用來加快 FPGA 在環(huán)(FIL)驗(yàn)證。利用新的 FIL 功能,可以更快地與 FPGA 板通信,實(shí)現(xiàn)更高的仿真時(shí)鐘頻率?,F(xiàn)在,系統(tǒng)工程師和研究人員可以自信地快速確認(rèn)和驗(yàn)證 FPGA 設(shè)計(jì)在系統(tǒng)中按預(yù)期方式工作,從而節(jié)省開發(fā)時(shí)間。

          本文引用地址:http://www.ex-cimer.com/article/201612/341775.htm

            隨著信號處理、視覺影像處理和控制系統(tǒng)算法的復(fù)雜度不斷增加,在 FPGA 板上對硬件實(shí)現(xiàn)進(jìn)行仿真,可以幫助驗(yàn)證設(shè)計(jì)在其系統(tǒng)環(huán)境中的工作情況。用于 FIL 驗(yàn)證的 HDL Verifier 自動(dòng)設(shè)置 MATLAB 和 Simulink 測試環(huán)境,并將其與運(yùn)行于 FPGA 開發(fā)板上的設(shè)計(jì)相連接。這有助于實(shí)現(xiàn)在實(shí)際硬件上運(yùn)行的 FPGA 設(shè)計(jì)的高逼真度協(xié)同仿真,同時(shí)復(fù)用開發(fā)階段使用的測試環(huán)境。

            R2016b 版允許工程師為其 FPGA 系統(tǒng)時(shí)鐘指定一個(gè)自定義頻率,時(shí)鐘頻率可比以前使用 FIL 的時(shí)候快五倍。對于在以 FPGA 為目標(biāo)時(shí)使用超頻因子的設(shè)計(jì),如控制應(yīng)用程序,可以使用較大的數(shù)據(jù)輸出規(guī)模來提高吞吐量。工程師現(xiàn)在還可以利用 FIL(使用 PCI Express 接口)來加快 MATLAB 和 Simulink 以及 Xilinx KC705/VC707 和 Intel Cyclone V GT/Stratix V DSP 開發(fā)板之間的通信,仿真速度比千兆以太網(wǎng)快 3-4 倍。

            “隨著電子系統(tǒng)日益復(fù)雜,作為驗(yàn)證步驟,精確地驗(yàn)證設(shè)計(jì)原型變得至關(guān)重要?!?nbsp; 的產(chǎn)品經(jīng)理 Jack Erickson 說,“現(xiàn)在,HDL Verifier 允許工程師在真實(shí)硬件上以現(xiàn)實(shí)的時(shí)鐘頻率快速運(yùn)行設(shè)計(jì),能夠從MATLAB/Simulink這樣方便的算法開發(fā)環(huán)境進(jìn)行FPGA在環(huán)仿真,使硬件設(shè)計(jì)驗(yàn)證大幅簡化?!?/p>

            有關(guān) HDL Verifier 的更多信息,請?jiān)L問:mathworks.com/products/hdl-verifier



          關(guān)鍵詞: MathWorks FPGA

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();