<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > EDA/PCB > 業(yè)界動(dòng)態(tài) > 英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

          作者: 時(shí)間:2017-09-21 來(lái)源:與非網(wǎng) 收藏

            2017年9月19日,“精尖制造日”活動(dòng)在北京舉行。本次活動(dòng)云集了制程、制造方面最權(quán)威的專家團(tuán),包括公司執(zhí)行副總裁兼制造、運(yùn)營(yíng)與銷售集團(tuán)總裁Stacy Smith,高級(jí)院士、技術(shù)與制造事業(yè)部制程架構(gòu)與集成總監(jiān)Mark Bohr,公司技術(shù)與制造事業(yè)部副總裁、晶圓代工業(yè)務(wù)聯(lián)席總經(jīng)理Zane Ball,并了主題演講。

          本文引用地址:http://www.ex-cimer.com/article/201709/364558.htm

            公司全球副總裁兼中國(guó)區(qū)總裁楊旭登臺(tái)致辭,歡迎來(lái)自合作伙伴、客戶、政府部門和學(xué)術(shù)界的嘉賓以及新聞媒體出席2017年9月19日在北京舉行的“英特爾精尖制造日”活動(dòng)。此次活動(dòng)著眼于快速發(fā)展的中國(guó)技術(shù)生態(tài)系統(tǒng),重申英特爾與中國(guó)半導(dǎo)體產(chǎn)業(yè)共成長(zhǎng)的承諾。英特爾在過(guò)去32年里,打造了世界級(jí)的晶圓制造和封裝測(cè)試工廠,自2004年以來(lái)在華協(xié)議總投入達(dá)130億美元。

            楊旭表示我們現(xiàn)在正處于一個(gè)數(shù)據(jù)的時(shí)代,以前是人上網(wǎng),現(xiàn)在是物上網(wǎng),兩者的不同是,后者每天所產(chǎn)生的數(shù)據(jù)量成幾何倍數(shù)增長(zhǎng)。到2020年的時(shí)候,每天的數(shù)據(jù)量將達(dá)到44ZB!從數(shù)據(jù)量來(lái)講,中國(guó)是世界最大的數(shù)據(jù)產(chǎn)生國(guó)之一。英特爾CEO科再奇曾說(shuō),“數(shù)據(jù)是未來(lái)的石油”,數(shù)據(jù)創(chuàng)造價(jià)值的時(shí)代已經(jīng)來(lái)臨。

            Stacy Smith主題演講:摩爾定律并沒(méi)有消亡

            英特爾公司執(zhí)行副總裁兼制造、運(yùn)營(yíng)與銷售集團(tuán)總裁Stacy Smith表示,對(duì)英特爾來(lái)說(shuō),中國(guó)是非常重要的市場(chǎng)。在過(guò)去32年中,英特爾不斷學(xué)習(xí),并對(duì)中國(guó)集成電路行業(yè)的發(fā)展作出貢獻(xiàn),中國(guó)未來(lái)也依然是英特爾重要的合作伙伴?;谝淮宦窇?zhàn)略,英特爾也提出了芯路概念。

            

          blob.png

           

            Stacy Smith表示很高興首次在中國(guó)與大家分享英特爾制程工藝路線圖中的多項(xiàng)重要進(jìn)展,展現(xiàn)了我們持續(xù)推動(dòng)摩爾定律向前發(fā)展所獲得的豐碩成果。

            Stacy Smith在演講中提到了摩爾定律,他說(shuō),摩爾定律其實(shí)反映的是一種經(jīng)濟(jì)學(xué)原理,它正在改變我們每個(gè)人的生活。摩爾定律在其它領(lǐng)域同樣適用。

            Stacy Smith認(rèn)為摩爾定律不會(huì)失效,他說(shuō),英特爾遵循摩爾定律,持續(xù)向前推進(jìn)制程工藝,每一個(gè)節(jié)點(diǎn)晶體管數(shù)量會(huì)增加一倍,14nm和都做到了,并帶來(lái)更強(qiáng)的功能和性能、更高的能效,而且晶體管成本下降幅度前所未有。這表示摩爾定律依然有效。

            Stacy Smith認(rèn)為節(jié)點(diǎn)之間的時(shí)間延長(zhǎng)是整個(gè)行業(yè)面臨的問(wèn)題,那么摩爾定律在這種情況下能否帶來(lái)同樣的效益。答案依然是肯定的。英特爾的超微縮技術(shù)讓英特爾能夠加速推進(jìn)密度的提升,借助節(jié)點(diǎn)內(nèi)優(yōu)化,產(chǎn)品功能每年都可實(shí)現(xiàn)增強(qiáng)。

            超微縮技術(shù)帶來(lái)的好處是什么呢?Stacy Smith先生用圖進(jìn)行詮釋。

            

          blob.png

           

            超微縮技術(shù)的使用,英特爾的14nm制程工藝更加優(yōu)秀。雖然同為14nm,英特爾的芯片密度更高,性能更強(qiáng)。其它的制程工藝,僅相當(dāng)于英特爾14nm工藝制程的芯片密度。

            Stacy Smith認(rèn)為英特爾在14nm制程工藝上保持著大約三年的領(lǐng)先性。

            

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

           

            Stacy Smith還介紹了英特爾全球精尖制造布局。他說(shuō),擁有領(lǐng)先邏輯晶圓廠的公司數(shù)量已經(jīng)越來(lái)越少,眾多公司已經(jīng)被淘汰出局。目前在14-16nm節(jié)點(diǎn)只剩4家(英特爾、三星、臺(tái)積電、格芯),且僅有2家(英特爾、三星)有一體化的器件生產(chǎn)能力。

            Stacy Smith還介紹了英特爾代工業(yè)務(wù)。他說(shuō),2016年全球晶圓代工收入為530億美元,其中高端技術(shù)(28/20/16/14nm)代工收入2016年達(dá)到230億美元。英特爾將致力22/14/工藝節(jié)點(diǎn)的晶圓代工,發(fā)力高端市場(chǎng)。

            Stacy Smith演講的最后首次展示了10nm晶圓。

            Mark Bohr主題演講:制程工藝細(xì)節(jié)

            英特爾高級(jí)院士、技術(shù)與制造事業(yè)部制程架構(gòu)與集成總監(jiān)Mark Bohr介紹了英特爾10納米制程工藝的最新細(xì)節(jié),展現(xiàn)了英特爾的技術(shù)領(lǐng)先性。

            Mark Bohr展示了英特爾的制程工藝時(shí)間圖。他說(shuō),英特爾是首家做到22nm FinFET的公司,比競(jìng)爭(zhēng)友商至少領(lǐng)先三年。

            

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

           

            Mark Bohr演示了他提出的晶體管密度計(jì)算公式 ,用以規(guī)范晶體管密度的通用衡量標(biāo)準(zhǔn)。

            Mark Bohr說(shuō),14nm到10nm所花費(fèi)的時(shí)間超過(guò)兩年,但密度提升非常可觀。晶體管密度每?jī)赡晏岣呒s一倍,10nm每平方毫米晶體管數(shù)量超過(guò)1億個(gè),而14nm每平方毫米晶體管數(shù)量只有不到4000萬(wàn)個(gè)。

            Mark Bohr說(shuō),微處理器晶片的微縮每一代系數(shù)約為0.62倍,10nm微縮系數(shù)約為0.43倍。提升密度、提高性能、降低能耗、降低成本,是英特爾致力的目標(biāo)。

            Mark Bohr說(shuō),英特爾目前投產(chǎn)、開(kāi)發(fā)和前沿研究中的節(jié)點(diǎn),從45-32-22-14-10-7-5-3nm。

            

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

           

            Mark Bohr介紹了14nm超微縮相對(duì)于22nm超微縮的領(lǐng)先性,同時(shí)也介紹了10nm相對(duì)于14nm超微縮的技術(shù)差異。他說(shuō),22nm到14nm再到10nm,第三代FinFET晶體管有了極大的突破。10nm鰭片的高度較14nm提高25%,間距縮小25%,超強(qiáng)的微縮能力和全新特性將晶體管密度提升了2.7倍。

            Mark Bohr還介紹了22FFL技術(shù)的相關(guān)信息。22FFL是在2017年3月美國(guó)“英特爾精尖制造日”活動(dòng)上首次宣布的一種面向移動(dòng)應(yīng)用的超低功耗FinFET技術(shù)。英特爾22FFL可帶來(lái)一流的CPU性能,實(shí)現(xiàn)超過(guò)2GHz的主頻以及漏電降低100倍以上的超低功耗。此外,22FFL晶圓在本次活動(dòng)上全球首次公開(kāi)亮相。2017年4季度生產(chǎn)就緒。

            Mark Bohr說(shuō)基于多年22nm/14nm的制造經(jīng)驗(yàn),英特爾推出了稱為22FFL(FinFET低功耗)的全新工藝。該工藝提供結(jié)合高性能和超低功耗的晶體管,及簡(jiǎn)化的互連與設(shè)計(jì)規(guī)則,能夠?yàn)榈凸募耙苿?dòng)產(chǎn)品提供通用的FinFET設(shè)計(jì)平臺(tái)。與先前的22GP相比,全新22FFL技術(shù)的漏電量最多可減少100倍。22FFL工藝還可達(dá)到與英特爾14nm晶體管相同的驅(qū)動(dòng)電流,同時(shí)實(shí)現(xiàn)比業(yè)界28nm/22nm平面技術(shù)更高的面積微縮。22FFL工藝包含一個(gè)完整的射頻(RF)套件,并結(jié)合多種先進(jìn)的模擬和射頻器件來(lái)支持高度集成的產(chǎn)品。借由廣泛采用單一圖案成形及簡(jiǎn)化的設(shè)計(jì)法則,使22FFL成為價(jià)格合理、易于使用可面向多種產(chǎn)品的設(shè)計(jì)平臺(tái),與業(yè)界的28nm的平面工藝相比在成本上極具競(jìng)爭(zhēng)力。

            Mark Bohr最后介紹英特爾目前還在進(jìn)行許多前沿項(xiàng)目的研究,包括納米線晶體管、III-V晶體管、3D堆疊、密集內(nèi)存、密集互聯(lián)、EUV圖案成形、神經(jīng)元計(jì)算以及自旋電子學(xué)等領(lǐng)域。

            

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

           

            Zane Ball主題演講:代工

            英特爾公司技術(shù)與制造事業(yè)部副總裁 英特爾晶圓代工業(yè)務(wù)聯(lián)席總經(jīng)理Zane Ball先生的主題演講

            Zane Ball認(rèn)為,中國(guó)半導(dǎo)體消費(fèi)全球占比達(dá)到58.5%,中國(guó)無(wú)晶圓廠全球行業(yè)占比25%,可見(jiàn),中國(guó)半導(dǎo)體領(lǐng)域擁有巨大的機(jī)會(huì)。

            英特爾晶圓代工重點(diǎn)關(guān)注兩大市場(chǎng)細(xì)分:網(wǎng)絡(luò)基礎(chǔ)設(shè)施、移動(dòng)和互聯(lián)網(wǎng)設(shè)備。晶圓代工工藝節(jié)點(diǎn)包括22nm、14nm、10nm以及22FFL技術(shù)。

            Zane Ball說(shuō),網(wǎng)絡(luò)基礎(chǔ)設(shè)備方面,英特爾在技術(shù)密度、高速數(shù)據(jù)傳輸、以及經(jīng)濟(jì)的多芯片集成方面有明顯優(yōu)勢(shì)。Zane Ball公布了采用10納米制程工藝和晶圓代工平臺(tái)的下一代FPGA計(jì)劃,研發(fā)代號(hào)為“Falcon Mesa”的FPGA產(chǎn)品將帶來(lái)全新水平的性能,以支持?jǐn)?shù)據(jù)中心、企業(yè)級(jí)和網(wǎng)絡(luò)環(huán)境中日益增長(zhǎng)的帶寬需求,“Falcon Mesa”擁有行業(yè)領(lǐng)先的性能和功耗。

            Zane Ball說(shuō),在移動(dòng)和互聯(lián)網(wǎng)設(shè)備方面,公司與ARM合作進(jìn)展良好。在2016年8月于舊金山舉行的英特爾信息技術(shù)峰會(huì)(IDF)上,英特爾晶圓代工宣布與arm達(dá)成協(xié)議,雙方將加速基于英特爾10納米制程的arm系統(tǒng)芯片開(kāi)發(fā)和應(yīng)用。他認(rèn)為移動(dòng)產(chǎn)品需要領(lǐng)先的功耗和性能比;互聯(lián)網(wǎng)設(shè)備注重超低漏電、低成本。公司的22FFL就非常適合。

            

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年

           

            Zane Ball說(shuō),對(duì)于英特爾來(lái)說(shuō),現(xiàn)在是一個(gè)關(guān)鍵的節(jié)點(diǎn),英特爾通過(guò)代工加深與中國(guó)伙伴的合作。

            Zane Ball說(shuō),很高興把FinFET帶入中國(guó)來(lái),英特爾在制程工藝方面的領(lǐng)先性可以助力中國(guó)企業(yè)共同進(jìn)步。

            沒(méi)有對(duì)比,就沒(méi)有傷害!

            

          英特爾左T右S 發(fā)布10nm和22FFL工藝 超越競(jìng)爭(zhēng)對(duì)手三年


          關(guān)鍵詞: 英特爾 10nm

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();