<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > Verilog的語言要素有哪些?

          Verilog的語言要素有哪些?

          作者: 時間:2018-08-03 來源:網(wǎng)絡(luò) 收藏

          本文引用地址:http://www.ex-cimer.com/article/201808/385258.htm

          `timescale 10ns/1ns

          那么5.22對應(yīng)52ns, 6.17對應(yīng)62ns。

          在編譯過程中,`timescale指令影響這一編譯器指令后面所有模塊中的時延值,直至遇到另一個`timescale指令或`resetall指令。當(dāng)一個設(shè)計中的多個模塊帶有自身的`timescale編譯指令時將發(fā)生什么?在這種情況下,模擬器總是定位在所有模塊的最小時延精度上,并且所有時延都相應(yīng)地換算為最小時延精度。例如,

          `timescale 1ns/ 100ps

          module AndFunc (Z, A, B);

          output Z;

          input A, B;

          and # (5.22, 6.17 ) Al (Z, A, B);

          endmodule

          `timescale 10ns/ 1ns

          module TB;

          reg PutA, PutB;

          wire GetO;

          initial

          begin

          PutA = 0;

          PutB = 0;

          #5.21 PutB = 1;

          #10.4 PutA = 1;

          #15 PutB = 0;

          end

          AndFunc AF1(GetO, PutA, PutB);

          endmodule

          在這個例子中,每個模塊都有自身的`timescale編譯器指令。`timescale編譯器指令第一次應(yīng)用于時延。因此,在第一個模塊中,5.22對應(yīng)5.2 ns, 6.17對應(yīng)6.2 ns; 在第二個模塊中5.21對應(yīng)52 ns, 10.4對應(yīng)104 ns, 15對應(yīng)150 ns。如果仿真模塊TB,設(shè)計中的所有模塊最小時間精度為100 ps。因此,所有延遲(特別是模塊TB中的延遲)將換算成精度為100 ps。延遲52 ns現(xiàn)在對應(yīng)520*100 ps,104對應(yīng)1040*100 ps,150對應(yīng)1500*100 ps。更重要的是,仿真使用100 ps為時間精度。如果仿真模塊AndFunc,由于模塊TB不是模塊AddFunc的子模塊,模塊TB中的`timescale程序指令將不再有效。

          3.5.7 `unconnected_drive和`nounconnected_drive

          在模塊實例化中,出現(xiàn)在這兩個編譯器指令間的任何未連接的輸入端口或者為正偏電路狀態(tài)或者為反偏電路狀態(tài)。

          `unconnected_drive pull1

          . . .

          /*在這兩個程序指令間的所有未連接的輸入端口為正偏電路狀態(tài)(連接到高電平)*/

          `nounconnected_drive

          `unconnected_drive pull0

          . . .

          /*在這兩個程序指令間的所有未連接的輸入端口為反偏電路狀態(tài)(連接到低電平)*/

          `nounconnected_drive

          3.5.8 `celldefine 和 `endcelldefine

          這兩個程序指令用于將模塊標記為單元模塊。它們表示包含模塊定義,如下例所示。

          `celldefine

          module FD1S3AX (D, CK, Z) ;

          . . .

          endmodule

          `endcelldefine

          某些PLI例程使用單元模塊。

          3.6 值集合

          HDL有下列四種基本的值:

          1) 0:邏輯0或“假”

          2) 1:邏輯1或“真”

          3) x:未知

          4) z:高阻

          注意這四種值的解釋都內(nèi)置于語言中。如一個為z的值總是意味著高阻抗,一個為0的值通常是指邏輯0。

          在門的輸入或一個表達式中的為“z”的值通常解釋成“x”。此外,x值和z值都是不分大小寫的,也就是說,值0x1z與值0X1Z相同。 HDL中的常量是由以上這四類基本值組成的。

          HDL中有三類常量:

          1) 整型

          2) 實數(shù)型

          3) 字符串型

          下劃線符號(_)可以隨意用在整數(shù)或?qū)崝?shù)中,它們就數(shù)量本身沒有意義。它們能用來提高易讀性;唯一的限制是下劃線符號不能用作為首字符。

          3.6.1 整型數(shù)

          整型數(shù)可以按如下兩種方式書寫:

          1) 簡單的十進制數(shù)格式

          2) 基數(shù)格式

          1. 簡單的十進制格式

          這種形式的整數(shù)定義為帶有一個可選的 “+”(一元)或 “-”(一元)操作符的數(shù)字序列。下面是這種簡易十進制形式整數(shù)的例子。

          32 十進制數(shù)32

          -15 十進制數(shù)-15

          這種形式的整數(shù)值代表一個有符號的數(shù)。負數(shù)可使用兩種補碼形式表示。因此32在5位的二進制形式中為10000,在6位二進制形式中為110001;-15在5位二進制形式中為10001,在6位二進制形式中為110001。

          2. 基數(shù)表示法

          這種形式的整數(shù)格式為:

          [size ] 'base value

          size 定義以位計的常量的位長;base為o或O(表示八進制),b或B(表示二進制),d或D(表示十進制),h或H(表示十六進制)之一;value是基于base的值的數(shù)字序列。值x和z以及十六進制中的a到f不區(qū)分大小寫。

          下面是一些具體實例:

          5'O37 5位八進制數(shù)

          4'D2 4位十進制數(shù)

          4'B1x_01 4位二進制數(shù)

          7'Hx 7位x(擴展的x), 即xxxxxxx

          4'hZ 4位z(擴展的z) , 即zzzz

          4'd-4 非法:數(shù)值不能為負

          8'h 2 A 在位長和字符之間,以及基數(shù)和數(shù)值之間允許出現(xiàn)空格

          3'b001 非法: ` 和基數(shù)b之間不允許出現(xiàn)空格

          (2+3)'b10 非法:位長不能夠為表達式

          注意,x(或z)在十六進制值中代表4位x(或z),在八進制中代表3位x(或z),在二進制中代表1位x(或z)。

          基數(shù)格式計數(shù)形式的數(shù)通常為無符號數(shù)。這種形式的整型數(shù)的長度定義是可選的。如果沒有定義一個整數(shù)型的長度,數(shù)的長度為相應(yīng)值中定義的位數(shù)。下面是兩個例子:

          'o721 9位八進制數(shù)

          'hAF 8位十六進制數(shù)

          如果定義的長度比為常量指定的長度長,通常在左邊填0補位。但是如果數(shù)最左邊一位為x或z,就相應(yīng)地用x或z在左邊補位。例如:

          10'b10 左邊添0占位, 0000000010

          10'bx0x1 左邊添x占位,xxxxxxx0x1

          如果長度定義得更小,那么最左邊的位相應(yīng)地被截斷。例如:

          3'b1001_0011與3'b011 相等

          5'H0FFF 與5'H1F 相等

          ?字符在數(shù)中可以代替值z在值z被解釋為不分大小寫的情況下提高可讀性(參見第8章)。

          3.6.2 實數(shù)

          實數(shù)可以用下列兩種形式定義:

          1) 十進制計數(shù)法;例如

          2.0

          5.678

          11572.12

          0.1

          2. //非法:小數(shù)點兩側(cè)必須有1位數(shù)字

          2) 科學(xué)計數(shù)法; 這種形式的實數(shù)舉例如下:

          23_5.1e2 其值為23510.0; 忽略下劃線

          3.6E2 360.0 (e與E相同)

          5E-4 0.0005



          關(guān)鍵詞: Verilog FPGA

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();