<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          異步FIFO設(shè)計

          作者: 時間:2006-05-07 來源:網(wǎng)絡(luò) 收藏

          摘要:本文介紹如何應(yīng)用美國QUICKLOGIC公司的QUICKRAM器件設(shè)計高速、高可靠(Asynchronous FIFO)。

          廣泛地用于計算機(jī)網(wǎng)絡(luò)工業(yè)中進(jìn)行非同步數(shù)據(jù)傳送,這里的非同步指按一種速率發(fā)送而按另一速率接收。因此有兩個不同的時鐘,一個為讀同步時鐘,一個為寫同步時鐘。

          當(dāng)數(shù)據(jù)從一個時鐘驅(qū)動的模塊進(jìn)入另一個時鐘驅(qū)動的模塊時,一個需仔細(xì)解決的問題就出現(xiàn)了。例如當(dāng)寫時鐘比讀時鐘快時,未讀走數(shù)據(jù)有可能被新數(shù)據(jù)覆蓋,因而導(dǎo)致數(shù)據(jù)丟失。為了解決這個問題,就必須增加一些控制信號和狀態(tài)信號,控制信號如pusb、pop,狀態(tài)信號如empty,almostempty,full,almost-full。

          功能描述

          典型的異步FIFO(AsynFIFO)都是由異步雙端口RAM和控制邏輯構(gòu)成,控制邏輯包含讀指針和寫指針。

          當(dāng)FIFO中有數(shù)據(jù)而非空時,POP信號(同步于讀時鐘)用于控制數(shù)據(jù)的讀出,所讀數(shù)據(jù)來自讀指針?biāo)傅模ˋUAL PORT RAM)中的存儲單元,并且讀指針加一。當(dāng)讀指針趕上寫指針時,F(xiàn)IFO為空并且用empty信號(同步于讀時鐘)來指示這種情況。

          當(dāng)FIFO中有空間而非滿時,PUSH信號(同步于寫時鐘)用于控制數(shù)據(jù)的寫入,所寫數(shù)據(jù)寫入寫指針?biāo)傅碾p端口RAM中的存儲單元,并且寫指針加一。當(dāng)寫指針趕上讀指針時,F(xiàn)IFO為滿足并且用full信號(同步于寫時鐘)來指示這種情況。

          當(dāng)FIFO中只剩不足三個數(shù)據(jù)時,almost-empty有效(同步于讀時鐘)。類似地,當(dāng)FIFO中還有不足四個空位時almost-full將有效(同步于寫時鐘)。用戶可根據(jù)需要修改讀、寫側(cè)的計數(shù)器初始值,從而確定所需要的almost-empty和almost-full提前量。例如當(dāng)計數(shù)器初始化為7時,almost-empty和almost-full將分別比empty和full提前7個位置。讀側(cè)和寫側(cè)的狀態(tài)機(jī)將根據(jù)內(nèi)部比較器的輸出來確定這些狀態(tài)信號。每側(cè)的狀態(tài)機(jī)都有兩上D觸發(fā)器,構(gòu)成雙同步,這樣的設(shè)計可大幅度提高系統(tǒng)的可靠性,使得平均元故障時間(MTBF)可大于100年。

          結(jié)構(gòu)

          圖1為AsynFIFO的頂層設(shè)計框圖(Quicklogic免費提供全部設(shè)計文件),并給出了各相模塊的設(shè)計文件名。圖中各模塊可根據(jù)要求修改,以增加FIFO的寬度和深度。請注意,本文圖中沒有給出讀側(cè)和寫側(cè)的狀態(tài)機(jī)。

          RAM塊

          圖1中用了一個64×32的RAM塊。該RAM塊由Verilog代碼定義,該代碼由SpDE內(nèi)的RAM/ROM/FIFO向?qū)ё詣赢a(chǎn)生。在向?qū)е杏脩艨勺杂芍付ㄋ璧膶挾群蜕疃?,向?qū)ё詣赢a(chǎn)生所需的Verilog/VHDL代碼和原理圖中所需的symbol。

          比較器

          參考設(shè)計中的比較器為5位,采用純原理圖方法輸入。當(dāng)用戶修改了RAM塊的深度時,比較器的寬度也要與之對應(yīng)。例如當(dāng)FIFO深度為256時,地址須為8位,因而是比較器也應(yīng)為8位。

          格雷碼計數(shù)器

          為了提高M(jìn)TFB,設(shè)計中采用了格雷碼計數(shù)器,該計數(shù)器為5位,采用Verilog/VHDL語言實現(xiàn)。它們可以被改成6位、7位、8位、9位,以對應(yīng)深度為64、128、256、512的FIFO。

          鎖存器

          圖中的鎖存器為verilog/VHDL語言所寫,讀側(cè)有三個,寫側(cè)有一個,用戶可自由地修改其寬度。它們用于狀態(tài)、控制信號的產(chǎn)生。

          性能

          RARTS:QuickRAM family

          AREA:48 buffer cells

          Speed:write colck(WCLK)=136MHz,read clock(RCLK)=129MHz

          結(jié)論

          采用QuickRAM器件實現(xiàn)異步FIFO方便靈活,并且速度快,成本低,還可以實現(xiàn)非常規(guī)深度和寬度的專用FIFO。



          關(guān)鍵詞: 異步FIFO

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();