<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA的采集卡的圖像增強(qiáng)算法應(yīng)用研究

          基于FPGA的采集卡的圖像增強(qiáng)算法應(yīng)用研究

          作者: 時(shí)間:2014-02-13 來源:摘自《電子發(fā)燒友》 收藏

            0 引言

          本文引用地址:http://www.ex-cimer.com/article/221495.htm

            圖像在采集過程中不可避免地會受到靈敏度、噪聲干擾以及模數(shù)轉(zhuǎn)化時(shí)量化問題等因素影響而導(dǎo)致圖像無法達(dá)到人眼的視覺效果,為了實(shí)現(xiàn)人眼觀察或者機(jī)器自動分析的目的,對原始圖像所做的改善行為,就被稱作技術(shù)。因此技術(shù)雖然是改善圖像質(zhì)量的通用方法,但是它也同樣帶有針對性,它必須是針對某一特定的需要而采用的特定的算法來實(shí)現(xiàn)圖像質(zhì)量的改善。

            技術(shù)根據(jù)各種不同目的而產(chǎn)生了多種算法,根據(jù)處理空間的不同把這些算法分為基于空間域的圖像增強(qiáng)算法和基于變換域的圖像增強(qiáng)算法。基于空間域的圖像增強(qiáng)算法又可以分為空域的變換增強(qiáng)算法、空域的濾波增強(qiáng)算法以及空域的彩色增強(qiáng)算法;基于變換域的圖像增強(qiáng)算法可以分為頻率域平滑增強(qiáng)算法、頻率域的銳化增強(qiáng)算法以及頻域彩色增強(qiáng)算法。

            本論文采用的是空間域圖像增強(qiáng)算法,主要利用一定的圖像灰度值映射準(zhǔn)則來調(diào)整圖像灰度的動態(tài)范圍,從而實(shí)現(xiàn)圖像的增強(qiáng)。

            1 圖像采集卡總體結(jié)構(gòu)

            如圖1所示,整個(gè)采集卡可分成3個(gè)子模塊,主要有總線部分、系統(tǒng)部分和外圍接口部分。總線部分的主要功能是完成PC機(jī)和本地總線上設(shè)備的通信,包括PCI總線的通信,本地總線上的總線仲裁、數(shù)據(jù)傳輸、中斷、中斷握手以及PCI9054 芯片的配置功能。

          系統(tǒng)框圖

             系統(tǒng)部分主要實(shí)現(xiàn)的是一個(gè) 最小系統(tǒng)。作為PCI9054 本地總線上的設(shè)備,F(xiàn)PGA 需要完成和PCI9054本地總線接口之間的通信。同樣FPGA也需要具有上電自動配置的功能。外圍接口部分主要與FPGA 通信。雖然通過FPGA 產(chǎn)生了各種控制信號,但這些信號在被轉(zhuǎn)換之后才能用于實(shí)際的控制。外圍接口部分的主要任務(wù)就是進(jìn)行電平轉(zhuǎn)換,以達(dá)到實(shí)際應(yīng)用的要求。

            2 圖像增強(qiáng)算法實(shí)現(xiàn)

            2.1 圖像增強(qiáng)算法設(shè)計(jì)

            在此,采用基于點(diǎn)操作的增強(qiáng)方法,也稱為灰度變換。采用直接灰度變換方法實(shí)現(xiàn)增強(qiáng)原圖各部分的反差。實(shí)際中往往采用增加原圖里某兩個(gè)灰度值間的動態(tài)范圍來實(shí)現(xiàn)。在本設(shè)計(jì)中,采用圖2所示的直接灰度變換的方法,橫坐標(biāo)表示原圖像的灰度值,縱坐標(biāo)表示變換后的灰度值,實(shí)線為本論文中討論的增強(qiáng)對比度變換曲線。虛線表示不做任何變換的曲線圖。

          直接灰度變換圖

            可以看出把原圖中的0~ r1 和r2 ~ 2n - 1 間的動態(tài)范圍明顯減小,而原圖中灰度值r1 ~ r2 之間的動態(tài)范圍增加了。其中n 為圖像位寬。這樣,分段線性變換的數(shù)學(xué)表達(dá)式如下:

          分段線性變換的數(shù)學(xué)表達(dá)

            簡化表達(dá)式,化簡如下:

          簡化表達(dá)式

            式中參數(shù)k1 ,k2 ,k3 可能是一個(gè)小數(shù),為了在FPGA 里面能夠進(jìn)行計(jì)算,首先需要對這3個(gè)參數(shù)進(jìn)行定點(diǎn)化處理。整個(gè)定點(diǎn)化的工作被嵌入到驅(qū)動程序中,用戶只需輸入接口數(shù)據(jù)r1 ,r2 ,s1 和s2 ,驅(qū)動程序生成定點(diǎn)化結(jié)果k1 ,k2 ,k3 和移位的位數(shù)bit1 ,bit2 ,bit3 送入FP-GA.本論文采用定點(diǎn)化方法是,先將小數(shù)進(jìn)行乘2操作(移位操作),如果先到達(dá)整數(shù)或者大于512提前完成乘2操作,否則對其32次的乘2操作。

          fpga相關(guān)文章:fpga是什么



          上一頁 1 2 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();