<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA的SPI Flash控制器的設(shè)計(jì)方案

          基于FPGA的SPI Flash控制器的設(shè)計(jì)方案

          作者:陳炳成 時(shí)間:2014-02-14 來(lái)源:摘自《電子發(fā)燒友》 收藏

            3.2 SPI 控制器控制狀態(tài)機(jī)

          本文引用地址:http://www.ex-cimer.com/article/221568.htm

            由于SPI 操作命令較多,并且很多操作命令之間存在相同的操作步驟,所以利用狀態(tài)機(jī)進(jìn)行控制能夠準(zhǔn)確有條理地完成對(duì)SPI 的操作。分析GDQ25系列SPI Flashdatasheet,可將SPI Flash控制器的工作狀態(tài)劃分為空閑狀態(tài)(IDLE)、傳輸指令狀態(tài)(TxCMD)、傳輸高字節(jié)地址狀態(tài)(TxADD_H)、傳輸中間字節(jié)地址狀態(tài)(TxADD_M)、傳輸?shù)妥止?jié)地址狀態(tài)(TxADD_L)、傳輸偽字節(jié)狀態(tài)(TxDummy)、傳輸數(shù)據(jù)狀態(tài)(TxDATA)和接收數(shù)據(jù)狀態(tài)(RxDATA)。除此以外,由于所有接收到的指令值都寄存在指令寄存器內(nèi),當(dāng)一條指令執(zhí)行完畢時(shí)需要將指令寄存器清空,以便接收下一條用戶指令,所以設(shè)定一個(gè)清除指令狀態(tài)(CLR_CMD)作為每一操作完成后的收尾狀態(tài)。當(dāng)狀態(tài)機(jī)進(jìn)入CLR_CMD狀態(tài)后,表示當(dāng)前操作已經(jīng)完成,正將指令寄存器指令值清空;當(dāng)狀態(tài)機(jī)進(jìn)入IDLE狀態(tài)時(shí),用戶可輸入下一操作指令,對(duì)SPI Flash進(jìn)行下一操作??紤]到SPI Flash的響應(yīng)時(shí)間,在以上工作狀態(tài)中間插入了一些等待狀態(tài)(WAIT)。

            4.SPI Flash控制器驗(yàn)證

            SPI Flash控制器IP核在Modelsim 6.5g上能夠通過(guò)功能仿真,下面分析一下SPI Flash頁(yè)面編程操作及數(shù)據(jù)讀取操作的功能仿真。

            4.1 頁(yè)面編程操作

            頁(yè)面編程操作仿真波形如圖3所示,圖中截取輸入00H和01H數(shù)據(jù)的波形,最多可連續(xù)輸入一頁(yè) 256字節(jié)數(shù)據(jù)。當(dāng)用戶輸入頁(yè)面編程指令02H,狀態(tài)機(jī)進(jìn)入txcmd狀態(tài),頁(yè)編程指令02H通過(guò)spi_dout傳輸給SPI Flash.當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),指令傳輸完畢,狀態(tài)機(jī)在等待后進(jìn)入txadd_h狀態(tài),同時(shí)tx_bit_cnt被清零,需寫入數(shù)據(jù)的對(duì)應(yīng)存儲(chǔ)空間的起始地址高字節(jié)值89H被傳輸。當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),高字節(jié)地址值89H被傳輸完畢,狀態(tài)機(jī)在等待后進(jìn)入txadd_m狀態(tài),同時(shí)tx_bit_cnt被清零,同上,地址中間字節(jié)67H和45H被傳輸。當(dāng)add_h、add_m和add_l寄存器的值依次傳輸完畢,狀態(tài)機(jī)進(jìn)入 wait6狀態(tài),等待用戶輸入需寫入SPI Flash的數(shù)據(jù)。當(dāng)用戶設(shè)定{sel,addr,wr}為10001b,狀態(tài)機(jī)進(jìn)入txdata狀態(tài),同時(shí)tx_new_data被置高,表示要傳輸新寫入的數(shù)據(jù)。在txdata狀態(tài)下,控制器將傳輸寫入的第1字節(jié)數(shù)據(jù)00H,當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),第1字節(jié)數(shù)據(jù)00H被傳輸完畢,tx_new_data被拉低,tx_empty被拉高,表示當(dāng)前沒(méi)有可傳輸?shù)臄?shù)據(jù),狀態(tài)機(jī)進(jìn)入wait6狀態(tài),等待新數(shù)據(jù)寫入。直到用戶再次設(shè)定 {sel,addr,wr}為10001b,狀態(tài)機(jī)再次進(jìn)入txdata狀態(tài),同時(shí)tx_new_data被置高,表示寫入的第2字節(jié)數(shù)據(jù)01H將要傳輸。當(dāng)tx_bit_cnt計(jì)數(shù)到8,第2字節(jié)數(shù)據(jù)傳輸完畢,tx_new_data被拉低,tx_empty被拉高,狀態(tài)機(jī)進(jìn)入wait6狀態(tài)。由于 GDQ25系列SPI Flash頁(yè)面編程一次最多可寫入256字節(jié)數(shù)據(jù),所以用戶在寫入數(shù)據(jù)時(shí),應(yīng)注意最多寫入256次數(shù)據(jù),否則超過(guò)的數(shù)據(jù)將覆蓋開始的數(shù)據(jù)。當(dāng)狀態(tài)機(jī)處于 wait6狀態(tài)而用戶想結(jié)束頁(yè)面編程時(shí),可向控制器輸入NOP指令強(qiáng)制結(jié)束當(dāng)前頁(yè)面編程操作。狀態(tài)機(jī)在接收到NOP指令后將進(jìn)入clr_cmd狀態(tài)和 idle空閑態(tài),等待下一條指令的到來(lái)。當(dāng)頁(yè)面編程操作還沒(méi)結(jié)束時(shí),busy將一直被置高。

          圖3 頁(yè)面編程操作仿真波形

            4.2 數(shù)據(jù)讀取操作

            讀數(shù)據(jù)指令仿真波形如圖4所示。當(dāng)用戶輸入讀數(shù)據(jù)指令03H,狀態(tài)機(jī)進(jìn)入txcmd狀態(tài),讀數(shù)據(jù)指令通過(guò)spi_dout傳輸給SPI Flash。

          圖4 數(shù)據(jù)讀取操作仿真波形

            當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),指令傳輸完畢,狀態(tài)機(jī)等待后進(jìn)入txadd_h狀態(tài),傳輸要讀出數(shù)據(jù)所在存儲(chǔ)空間起始地址的高字節(jié),同時(shí)tx_bit_cnt清零,以為下一個(gè)傳輸作準(zhǔn)備。地址高字節(jié)寄存器add_h數(shù)值56H通過(guò)spi_dout 傳輸給SPI Flash,當(dāng)tx_bit_cnt計(jì)數(shù)到8時(shí),狀態(tài)機(jī)進(jìn)入txadd_m狀態(tài),傳輸?shù)刂分虚g字節(jié),同理,控制器在狀態(tài)txadd_m和狀態(tài) txadd_l狀態(tài)下完成傳輸?shù)刂分虚g字節(jié)34H和地址低字節(jié)12H.當(dāng)24位地址傳輸完畢,狀態(tài)機(jī)在等待后進(jìn)入rxdata狀態(tài),接收從spi_din 輸入的第一字節(jié)數(shù)據(jù)01H.當(dāng)rx_bit_cnt計(jì)數(shù)到8時(shí),控制器完成第1字節(jié)數(shù)據(jù)的接收,rx_data顯示為01H,狀態(tài)機(jī)狀態(tài)轉(zhuǎn)換為wait8 狀態(tài),等待用戶設(shè)定{sel,addr,wr}以接收第2字節(jié)。當(dāng)用戶設(shè)定{sel,addr,wr}為10000b時(shí),狀態(tài)機(jī)再次進(jìn)入rxdata狀態(tài),接收從spi_din輸入的第2字節(jié)數(shù)據(jù)02H,同時(shí)rx_ready被拉低,rx_empty被拉高,rd_data被拉高,表示將要讀出新輸入的數(shù)據(jù)。當(dāng)rx_bit_cnt計(jì)數(shù)到8時(shí),第2字節(jié)數(shù)據(jù)接收完畢,rx_ready被拉高,rx_empty為低,rd_data顯示新接收的字節(jié)數(shù)據(jù) 02H,狀態(tài)機(jī)經(jīng)等待后重新進(jìn)入wait8狀態(tài),等待用戶再次設(shè)定{sel,addr,wr}值,接收第3字節(jié)數(shù)據(jù)值,同理,可讀出SPI Flash內(nèi)部所有數(shù)據(jù)。

            當(dāng)狀態(tài)機(jī)處于wait8狀態(tài)而用戶想退出讀數(shù)據(jù)操作時(shí),可向控制器輸入NOP指令強(qiáng)制結(jié)束當(dāng)前讀數(shù)據(jù)操作。狀態(tài)機(jī)在接收到NOP指令后將進(jìn)入clr_cmd狀態(tài)和idle空閑態(tài),等待下一條指令的到來(lái)。當(dāng)讀數(shù)據(jù)操作還沒(méi)結(jié)束時(shí),busy將一直置高。

            5.結(jié)語(yǔ)

            目前SPI Flash控制器IP核已經(jīng)在XUPV5-LX110T 開發(fā)板上通過(guò)硬件測(cè)試,并且將作為功能模塊應(yīng)用于芯片設(shè)計(jì)。實(shí)踐證明,基于的SPI Flash控制器能夠簡(jiǎn)化SPI Flash讀寫操作流程,從而提高SPI Flash的讀寫速度,而操作時(shí)不占用資源的特點(diǎn),將使SPIFlash的讀寫更有優(yōu)勢(shì)。

          fpga相關(guān)文章:fpga是什么



          上一頁(yè) 1 2 下一頁(yè)

          關(guān)鍵詞: FPGA Flash SOC CPU VHDL

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();