<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 光電顯示 > 設(shè)計(jì)應(yīng)用 > FPGA為設(shè)計(jì)平臺的全彩led顯示屏設(shè)計(jì)方案

          FPGA為設(shè)計(jì)平臺的全彩led顯示屏設(shè)計(jì)方案

          作者: 時(shí)間:2013-09-01 來源:網(wǎng)絡(luò) 收藏
          br style="font-family: 宋體, Georgia, verdana, serif; font-size: 12px; text-align: center; ">
            作為一個(gè)獨(dú)立的顯示系統(tǒng),普通的RS232 、RS485 總線方式已不能滿足L ED 顯示屏進(jìn)行多媒體視頻播放所要達(dá)到的高數(shù)據(jù)速率傳輸要求。以512 ×256 的全彩顯示屏為例,當(dāng)要求系統(tǒng)換幀頻率達(dá)到30 Hz 時(shí),需要的數(shù)據(jù)傳輸速率高達(dá)94. 4 Mbp s。因此,在系統(tǒng)設(shè)計(jì)中,視頻數(shù)據(jù)的傳輸和接收采用RTL8201 設(shè)計(jì)的100 M 以太網(wǎng)控制器來完成。

            為了使視頻播放連續(xù)平滑,在數(shù)據(jù)接收過程中不能打斷顯示,這里采用兩組SRAM 進(jìn)行“乒乓操作”,使顯示數(shù)據(jù)的接收存儲和讀取能夠同時(shí)進(jìn)行, 從而實(shí)現(xiàn)視頻數(shù)據(jù)流的無縫緩沖和處理 , 如圖2 所示。換幀信號FRAME _SWITCH 是用來切換工作SRAM 組的,該信號決定兩組SRAM 哪一組處于讀狀態(tài),哪一組處于寫入狀態(tài)。RTL8201 數(shù)據(jù)接收模塊建立MII 接口,實(shí)現(xiàn)與RTL8201 的接口,把MII 接口傳送過來的半字節(jié)數(shù)據(jù)轉(zhuǎn)換成為24 位RGB 數(shù)據(jù),然后存儲在SRAM 里。RTL8201 每接收完一幀顯示數(shù)據(jù),則對換幀信號FRAME_ SWITCH 進(jìn)行求反,將兩組SRAM 的讀寫位置切換過來,使顯示屏顯示最新接收到的幀數(shù)據(jù),從而實(shí)現(xiàn)換幀操作。

          FPGA為設(shè)計(jì)平臺的全彩led顯示屏設(shè)計(jì)方案

            由于LED 顯示屏包含4 列相互獨(dú)立的顯示模塊,因此掃描控制電路需要提供4 路RGB 數(shù)據(jù)輸出接口, 在圖1 中表示為RGB0 ~ RGB3 。

            CLOCK_OUT 為移位時(shí)鐘信號輸出端口,RGB0~RGB3 在該時(shí)鐘的上升沿有效,各個(gè)端口的紅、綠、藍(lán)三色顯示數(shù)據(jù)通過時(shí)鐘脈沖信號分別逐位移入驅(qū)動芯片的顯示緩存。LA TCH 信號為串行數(shù)據(jù)輸出結(jié)束后需要進(jìn)行顯示刷新時(shí)的鎖存脈沖。EN 為灰度控制信號,當(dāng)EN 有效時(shí),L ED 可以隨輸入數(shù)據(jù)的0 、1 狀態(tài)熄滅或者點(diǎn)亮,其有效時(shí)間寬度對應(yīng)為表2 所示的點(diǎn)亮?xí)r間。

            EN 信號產(chǎn)生模塊為實(shí)現(xiàn)灰度圖像顯示的重要模塊,該模塊將輸入的位計(jì)數(shù)值轉(zhuǎn)換成為對應(yīng)位的點(diǎn)亮?xí)r間,并進(jìn)行相應(yīng)時(shí)間長度的亮度控制。

            在本設(shè)計(jì)中,輸入的灰度信號為256 級,考慮到反γ非線性校正過程引起的灰度損失,將輸出的灰度級別定義為1 024 級,因此需要得到10 位灰度數(shù)據(jù)各個(gè)位對應(yīng)的點(diǎn)亮?xí)r間。依據(jù)“灰度實(shí)現(xiàn)原理”,10 位灰度數(shù)據(jù)各個(gè)數(shù)據(jù)位對應(yīng)的點(diǎn)亮?xí)r間從D0 ~D9 分別從1 T 倍增為512 T ,點(diǎn)亮總時(shí)間為1 023 T 。

            由于驅(qū)動板中驅(qū)動芯片有二級緩存功能,所以更新數(shù)據(jù)和點(diǎn)亮L ED 這兩個(gè)控制過程可以部分重疊,從而得到圖3 所示的LED顯示屏灰度控制流程。更新第0 位數(shù)據(jù)時(shí)點(diǎn)亮?xí)r間為512 T 、更新第1 位數(shù)據(jù)時(shí)點(diǎn)亮?xí)r間為1 T ...依次類推,更新第n 位數(shù)據(jù)時(shí),控制點(diǎn)亮的時(shí)間為上一次所更新的位所需要的點(diǎn)亮?xí)r間。

          FPGA為設(shè)計(jì)平臺的全彩led顯示屏設(shè)計(jì)方案

            4、結(jié)論

            討論了一種大屏幕全彩LED 顯示屏設(shè)計(jì)的掃描控制器設(shè)計(jì)方案,通過對“19 場掃描”實(shí)現(xiàn)方法的分析,針對其不足之處,提出了一種新式的逐位點(diǎn)亮灰度控制方法。該控制方法使得在全彩LED 顯示屏的設(shè)計(jì)中,可以在L ED 的發(fā)光效率和刷新率之間進(jìn)行靈活的調(diào)整。本設(shè)計(jì)采用FP2GA 控制芯片為設(shè)計(jì)平臺來完成掃描控制電路的實(shí)現(xiàn),借助EDA 開發(fā)工具,降低了驅(qū)動電路的設(shè)計(jì)難度,縮短了項(xiàng)目的開發(fā)周期。

          上一頁 1 2 3 下一頁

          關(guān)鍵詞: FPGA led顯示屏

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();