<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于CPLD/FPGA的半整數(shù)分頻器的設(shè)計(jì)實(shí)例

          基于CPLD/FPGA的半整數(shù)分頻器的設(shè)計(jì)實(shí)例

          作者: 時(shí)間:2011-05-27 來(lái)源:網(wǎng)絡(luò) 收藏

            1 引言

            (ComplexprogrammableLogicDevice,復(fù)雜可編程邏輯器件)和(FieldprogrammableGatesArray,現(xiàn)場(chǎng)可編程門陣列)都是可編程邏輯器件,它們是在PAL、GAL等邏輯器件基礎(chǔ)上發(fā)展起來(lái)的。同以往的PAL、GAL相比,/的規(guī)模比較大,適合于時(shí)序、組合等邏輯電路的應(yīng)用。它可以替代幾十甚至上百塊通用IC芯片。這種芯片具有可編程和實(shí)現(xiàn)方案容易改動(dòng)等特點(diǎn)。由于芯片內(nèi)部硬件連接關(guān)系的描述可以存放在磁盤、ROM、PROM、或EPROM中,因而在可編程門陣列芯片及外圍電路保持不動(dòng)的情況下,換一塊EPROM芯片,就能實(shí)現(xiàn)一種新的功能。它具有設(shè)計(jì)開(kāi)發(fā)周期短、設(shè)計(jì)制造成本低、開(kāi)發(fā)工具先進(jìn)、標(biāo)準(zhǔn)產(chǎn)品無(wú)需測(cè)試、質(zhì)量穩(wěn)定以及實(shí)時(shí)在檢驗(yàn)等優(yōu)點(diǎn),因此,可廣泛應(yīng)用于產(chǎn)品的原理設(shè)計(jì)和產(chǎn)品生產(chǎn)之中。幾乎所有應(yīng)用門陣列、PLD和中小規(guī)模通用數(shù)字集成電路的場(chǎng)合均可應(yīng)用器件。

            在現(xiàn)代電子系統(tǒng)中,數(shù)字系統(tǒng)所占的比例越來(lái)越大。系統(tǒng)發(fā)展的越勢(shì)是數(shù)字化和集成化,而CPLD/FPGA作為可編程ASIC(專用集成電路)器件,它將在數(shù)字邏輯系統(tǒng)中發(fā)揮越來(lái)越重要的作用。

            在數(shù)字邏輯電路設(shè)計(jì)中,分頻器是一種基本電路。通常用來(lái)對(duì)某個(gè)給定頻率進(jìn)行分頻,以得到所需的頻率。整數(shù)分頻器的實(shí)現(xiàn)非常簡(jiǎn)單,可采用標(biāo)準(zhǔn)的計(jì)數(shù)器,也可以采用可編程邏輯器件設(shè)計(jì)實(shí)現(xiàn)。但在某些場(chǎng)合下,時(shí)鐘源與所需的頻率不成整數(shù)倍關(guān)系,此時(shí)可采用小數(shù)分頻器進(jìn)行分頻。比如:分頻系數(shù)為2.5、3.5、7.5等。筆者在模擬設(shè)計(jì)頻率計(jì)脈沖信號(hào)時(shí),就用了這樣的電路。由于時(shí)鐘源信號(hào)為50MHz,而電路中需要產(chǎn)生一個(gè)20MHz的時(shí)鐘信號(hào),其分頻比為2.5,因此整數(shù)分頻將不能勝任。為了解決這一問(wèn)題,筆者利用VIDL硬件描述語(yǔ)言和原理圖輸入方式,通過(guò)MAX+plusII開(kāi)發(fā)軟件和ALTERA公司的FLEX系列EPF10K10LC84-4型FPGA方便地完成了電路的設(shè)計(jì)。

            2 小數(shù)分頻的基本原理

            小數(shù)分頻的基本原理是采用脈沖吞吐計(jì)數(shù)器和鎖相環(huán)技術(shù)先設(shè)計(jì)兩個(gè)不同分頻比的整數(shù)分頻器,然后通過(guò)控制單位時(shí)間內(nèi)兩種分頻比出現(xiàn)的不同次數(shù)來(lái)獲得所需要的小數(shù)分頻值。如設(shè)計(jì)一個(gè)分頻系數(shù)為10.1的分頻器時(shí),可以將分頻器設(shè)計(jì)成9次10分頻,1次11分頻,這樣總的分頻值為:

          F=(9×10+1×11)/(9+1)=10.1

            從這種實(shí)現(xiàn)方法的特點(diǎn)可以看出,由于分頻器的分頻值不斷改變,因此分頻后得到的信號(hào)抖動(dòng)較大。當(dāng)分頻系數(shù)為N-0.5(N為整數(shù))時(shí),可控制扣除脈沖的時(shí)間,以使輸出成為一個(gè)穩(wěn)定的脈沖頻率,而不是一次N分頻,一次N-1分頻。

          模3計(jì)數(shù)器仿真波形

          圖2模3計(jì)數(shù)器仿真波形

            3 電路組成

            分頻系數(shù)為N-0.5的分頻器電路可由一個(gè)異或門、一個(gè)模N計(jì)數(shù)器和一個(gè)二分頻器組成。在實(shí)現(xiàn)時(shí),模N計(jì)數(shù)器可設(shè)計(jì)成帶預(yù)置的計(jì)數(shù)器,這樣可以實(shí)現(xiàn)任意分頻系數(shù)為N-0.5的分頻器。圖1給出了通用半整數(shù)分頻器的電路組成。
          采用VHDL硬件描述語(yǔ)言,可實(shí)現(xiàn)任意模N的計(jì)數(shù)器(其工作頻率可以達(dá)到160MHz以上),并可產(chǎn)生模N邏輯電路。之后,用原理圖輸入方式將模N邏輯電路、異或門和D觸發(fā)器連接起來(lái),便可實(shí)現(xiàn)半整數(shù)(N-0.5)分頻器以及(2N-1)的分頻。

          分頻器相關(guān)文章:分頻器原理

          上一頁(yè) 1 2 3 下一頁(yè)

          關(guān)鍵詞: CPLD FPGA 半整數(shù)分頻器

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();