<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 自動售貨機控制模塊VHDL程序設(shè)計及FPGA實現(xiàn)

          自動售貨機控制模塊VHDL程序設(shè)計及FPGA實現(xiàn)

          作者: 時間:2011-01-14 來源:網(wǎng)絡(luò) 收藏

            近年來,隨著集成電路技術(shù)的迅猛發(fā)展,特別是可編程邏輯器件的高速發(fā)展, (Electronic Design Automation,電子設(shè)計自動化)技術(shù)成為電子設(shè)計工程師的新寵。技術(shù)以計算機為工具完成數(shù)字系統(tǒng)的邏輯綜合、布局布線和設(shè)計仿真等工作。電路設(shè)計者只需要完成對系統(tǒng)功能的描述,就可以由計算機軟件進行系統(tǒng)處理,最后得到設(shè)計結(jié)果,并且修改設(shè)計方案如同修改軟件一樣方便。利用工具可以極大地提高設(shè)計效率。

            利用硬件描述語言編程來表示邏輯器件及系統(tǒng)硬件的功能和行為,是EDA設(shè)計方法的一個重要特征。(Very High Speed Integrated Circuit Hardware Description Language,超高速集成電路硬件描述語言)是硬件描述語言的一種,對系統(tǒng)硬件的描述功能很強而語法又比較簡單。具有強大的行為描述能力,設(shè)計者可以不懂硬件的結(jié)構(gòu),只需集中精力進行電子系統(tǒng)的設(shè)計和性能優(yōu)化;具有方便的邏輯仿真與調(diào)試功能,在設(shè)計早期就能查驗系統(tǒng)的功能,方便地比較各種方案的可行性及其優(yōu)劣。目前,作為IEEE的工業(yè)標準硬件描述語言,得到眾多EDA公司的支持,在電子工程領(lǐng)域已經(jīng)成為事實上通用硬件描述語言。

            本文采用VHDL作為工具描述了自動售貨機控制模塊的邏輯控制電路,并在上實現(xiàn)。該自動售貨機能夠根據(jù)投入硬幣額度,按預(yù)定的要求在投入硬幣大于規(guī)定值時送出飲料并找零。

            設(shè)計方案

            本文所設(shè)計的簡易自動售貨機可銷售礦泉水,假設(shè)每瓶1.5元。設(shè)兩個投幣孔,分別接收1元和5角兩種硬幣,兩個輸出口,分別輸出購買的商品和找零。假設(shè)每次只能投入一枚1元或5角硬幣,投入1元5角硬幣后機器自動給出一瓶礦泉水;投入2元硬幣后,在給出一瓶礦泉水的同時找回一枚5角的硬幣。另外設(shè)置一復(fù)位按鈕,當(dāng)復(fù)位按鈕按下時,自動售貨機回到初始狀態(tài)。

            開發(fā)軟件選用功能強大的Altera公司的最新可編程邏輯器件開發(fā)工具 II 8.0,實現(xiàn)芯片選用Altera公司FLEX10K系列的EPF10K10LC84-4;首先在計算機上完成程序設(shè)計、編譯及時序仿真,然后將經(jīng)過驗證的設(shè)計文件下載到選擇的可編程邏輯器件中,并在電子設(shè)計自動化實驗系統(tǒng)中進行硬件模擬和測試。

            狀態(tài)機VHDL程序設(shè)計

            有限狀態(tài)機FSM(Finite State Machine)及其設(shè)計技術(shù)是實用數(shù)字系統(tǒng)設(shè)計中實現(xiàn)高效率、高可靠邏輯控制的重要途徑。傳統(tǒng)的狀態(tài)機設(shè)計方法需進行繁瑣的狀態(tài)分配、繪制狀態(tài)表、簡化次態(tài)方程等,而利用VHDL可以避免這些煩瑣的過程,直接利用狀態(tài)轉(zhuǎn)換圖進行狀態(tài)機的描述。此外,與VHDL的其他描述方式相比,狀態(tài)機的VHDL表述豐富多樣,程序?qū)哟畏置鳎Y(jié)構(gòu)清晰,易讀易懂;在排錯、修改和模塊移植方面也有其獨到的特點。

            狀態(tài)機有摩爾(Moore)型和米立(Mealy)型兩種。Moore型狀態(tài)機的輸出信號只與當(dāng)前狀態(tài)有關(guān);Mealy型狀態(tài)機的輸出信號不僅與當(dāng)前狀態(tài)有關(guān),還與輸入信號有關(guān)。結(jié)合本文設(shè)計,由于輸出僅與狀態(tài)有關(guān),選用了Moore型狀態(tài)機設(shè)計自動售貨機控制模塊,狀態(tài)轉(zhuǎn)換圖如圖1所示。

          自動售貨機狀態(tài)轉(zhuǎn)換圖

          圖1 自動售貨機狀態(tài)轉(zhuǎn)換圖

            1)狀態(tài)定義:S0表示初態(tài),S1表示投入5角硬幣,S2表示投入1元硬幣,S3表示投入1元5角硬幣,S4表示投入2元硬幣。

            2)輸入信號:取投幣信號為輸入邏輯變量,用兩位的矢量state_inputs表示。state_inputs(0)表示投入1元硬幣,state_inputs(1)表示投入5角硬幣。輸入信號為1表示投入硬幣,輸入信號為0表示未投入硬幣。

            3)輸出信號:給出礦泉水和找零為兩個輸出變量,用兩位的矢量comb_outputs表示。comb_outputs(0)表示輸出貨物,comb_outputs(1)表示找5角零錢。輸出信號為1表示輸出貨物或找零,輸出信號為0表示不輸出貨物或不找零。


          上一頁 1 2 3 下一頁

          關(guān)鍵詞: EDA FPGA VHDL Quartus

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();