<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 基于VHDL語言的交通燈控制器設(shè)計及仿真結(jié)果

          基于VHDL語言的交通燈控制器設(shè)計及仿真結(jié)果

          作者: 時間:2010-06-30 來源:網(wǎng)絡(luò) 收藏

          程序

            其中,Sa,Sb分別是a,b路口傳感器的信號,aR,aY,aG,aLR,aLY,aLG分別代表控制主干道a的直行紅燈、黃燈、綠燈,左轉(zhuǎn)彎紅燈、黃燈、綠燈的信號;bR,bY,bG,bLR,bLY,bLG分別代表控制主干道b的直行紅燈、黃燈、綠燈,左轉(zhuǎn)彎紅燈、黃燈、綠燈的信號;aPR,aPG,bPR,bPG是人行道信號,enl是使能信號。enl=0時a,b兩路口紅燈同時亮,便于處理特殊情況。用QuartusⅡ?qū)Τ绦蚓幾g、仿真,得到的仿真波形如圖2所示,經(jīng)程序下載、實驗驗證,系統(tǒng)功能符合要求。

          仿真波形

            基于設(shè)計,外圍電路少、功耗低、可靠性高,便于系統(tǒng)功能的修改,設(shè)計效率高。

          分頻器相關(guān)文章:分頻器原理

          上一頁 1 2 下一頁

          關(guān)鍵詞: VHDL 交通燈控制器

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();