<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > VHDL設(shè)計(jì)中信號與變量問題的研究

          VHDL設(shè)計(jì)中信號與變量問題的研究

          作者: 時間:2010-04-14 來源:網(wǎng)絡(luò) 收藏

            library ieee;

            use ieee.std_logic_1164.all;

            use ieee.std_logic_unsigned.all;

            entity sevenauto is

            port(clk:in std_logic;

            y:out std_logic_vector(6 downto 0));

            end sevenauto;

            architecture behave of sevenauto is

            begin

            process(clk)

            variable count:std_logic_vector(3 downto 0);

            variable init:std_logic;

            begin

            if (clk''event) and (clk=''1'') then

            if (init = ''0'') then

            count:= "1001";

            init:=''1'

            end if;

            count:=count+1;

            case count is

            when "0000"=>y="1111110";

            when "0001"=>y="0110000";

            when X"2"=>y="1101101";

            when X"3"=>y="1111001";

            when X"4"=>y="0110011";

            when X"5"=>y="1011011";

            when X"6"=>y="1011111";

            when X"7"=>y="1110000";

            when X"8"=>y="1111111";

            when X"9"=>y="1111011";

            when X"A"=>y="1110111";

            when X"B"=>y="0011111";

            when X"C"=>y="1001110";

            when "1101"=>y="0111101";

            when "1110"=>y="1001111";

            when "1111"=>y="1000111";

            when thers=>y="XXXXXXX";

            end case;

            end if;

            end process;

            end behave;



          關(guān)鍵詞: VHDL 設(shè)計(jì) 變量 初始值

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();