<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 測(cè)試測(cè)量 > 設(shè)計(jì)應(yīng)用 > 集成運(yùn)算放大器參數(shù)測(cè)試儀校準(zhǔn)裝置的開發(fā)

          集成運(yùn)算放大器參數(shù)測(cè)試儀校準(zhǔn)裝置的開發(fā)

          作者: 時(shí)間:2010-06-28 來源:網(wǎng)絡(luò) 收藏

            3 液晶顯示電路設(shè)計(jì)

            智能彩色液晶顯示器VK56B是上海廣電集團(tuán)北京分公司的產(chǎn)品,具有體積小、功耗低、無輔射、壽命長(zhǎng)、超薄、防振及防爆等特點(diǎn)。該LCD采用工業(yè)級(jí)的CPU,機(jī)內(nèi)配置有二級(jí)字庫,可通過串口或三態(tài)數(shù)據(jù)總線并口接收控制命令數(shù)據(jù),并自行對(duì)接收的命令和數(shù)據(jù)進(jìn)行處理,以實(shí)時(shí)顯示用戶所要顯示的各種曲線、圖形和中西文字體。AT89S51與智能化液晶VK56B的接口電路如圖3所示。單片機(jī)與LCD采用并行通信設(shè)計(jì),LCD自身具有一個(gè)三態(tài)數(shù)據(jù)總線并口(并口為CMOS電平),可以同主機(jī)進(jìn)行通信。它外部有12條線同單片機(jī)相連,即D0~D7、WRCS、BUSY、INT和GND。其中,WRCS為片選信號(hào)和寫信號(hào)的邏輯或非,上升沿有效;BUSY信號(hào)為高(CMOS電平)表示忙;INT為中斷申請(qǐng)信號(hào),低電平有效。

          單片機(jī)與智能化液晶接口電路圖

            圖3 單片機(jī)與智能化液晶接口電路圖

            參數(shù)裝置軟件設(shè)計(jì)

            軟件部分包括上位機(jī)軟件和下位機(jī)軟件設(shè)計(jì)。上位機(jī)軟件完成PC與單片機(jī)的通信以及數(shù)據(jù)處理等工作;下位機(jī)軟件即單片機(jī)源程序。本設(shè)計(jì)使用Keil C完成狀態(tài)的轉(zhuǎn)換、與上位機(jī)串行通信以及參數(shù)的實(shí)時(shí)顯示等。

            1 上位機(jī)軟件設(shè)計(jì)

            上位機(jī)軟件主要分為三部分:參數(shù)設(shè)置部分主要完成被校運(yùn)放測(cè)試儀信息錄入;部分完成各參數(shù)的校準(zhǔn);數(shù)據(jù)處理部分完成校準(zhǔn)證書及原始記錄的自動(dòng)化報(bào)表。上位機(jī)軟件主對(duì)話框如圖4所示?!皡?shù)設(shè)置”部分主要完成被校運(yùn)放測(cè)試儀的資料錄入;“校準(zhǔn)”部分主要通過下位機(jī)配合完成輸入失調(diào)電壓、輸入失調(diào)電流等10個(gè)參數(shù)的校準(zhǔn)過程;“生成校準(zhǔn)證書”、“生成原始記錄”、“預(yù)覽校準(zhǔn)證書”、“預(yù)覽原始記錄”主要實(shí)現(xiàn)校準(zhǔn)數(shù)據(jù)的自動(dòng)化處理。

          上位機(jī)軟件主對(duì)話框

            圖4 上位機(jī)軟件主對(duì)話框



          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();