<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于NiosⅡ的1553B總線通訊模塊設(shè)計(jì)與開(kāi)發(fā)

          基于NiosⅡ的1553B總線通訊模塊設(shè)計(jì)與開(kāi)發(fā)

          作者: 時(shí)間:2015-01-16 來(lái)源:網(wǎng)絡(luò) 收藏

            自2005年9月LXI總線推出以來(lái),已經(jīng)顯示出其組建測(cè)試系統(tǒng)的眾多優(yōu)點(diǎn)?;贚XI總線組建測(cè)試系統(tǒng)具有易于使用、靈活性高、模塊化和可擴(kuò)縮性、實(shí)現(xiàn)更快的系統(tǒng)吞吐率、可分布式應(yīng)用、長(zhǎng)壽命、低成本、通過(guò)IEEE1588時(shí)鐘同步、機(jī)架空間小、合成儀器等諸多優(yōu)點(diǎn)。

          本文引用地址:http://www.ex-cimer.com/article/268250.htm

            總線的全名為“時(shí)分制指令/響應(yīng)式多路傳輸數(shù)據(jù)總線”,國(guó)內(nèi)多型戰(zhàn)斗機(jī)、軍艦等武器平臺(tái)都采用其作為傳輸總線。因此研制基于LXI總線的通訊模塊,不僅能滿足多型武器裝備對(duì)總線的測(cè)試需求,也對(duì)LXI總線在國(guó)內(nèi)的研究和應(yīng)用有推動(dòng)作用。

            1 模塊總體方案

            模塊基本設(shè)計(jì)指標(biāo)為:滿足LXI規(guī)范C類儀器標(biāo)準(zhǔn);支持DHCP、自動(dòng)配置IP地址和手動(dòng)配置IP地址;支持ICMP協(xié)議、TCP/IP協(xié)議和UDP協(xié)議;同步采用網(wǎng)絡(luò)命令方式;能完成1553B在BC模式下的所有功能。

            根據(jù)指標(biāo)要求采用基于SOPC的32位處理器+實(shí)時(shí)多任務(wù)操作系統(tǒng)(Real Time Operation System,RTOS)總體方案,在一塊芯片上集成了控制器和邏輯單元,既降低了開(kāi)發(fā)的難度、減少了模塊體積,也易于以后的升級(jí)。32位處理器有足夠的資源可以擴(kuò)充利用,RAM和ROM可以做的足夠大,整個(gè)TCP/IP協(xié)議族可以做到系統(tǒng)里面去,甚至可以嵌入一個(gè)帶TCP/IP協(xié)議族的操作系統(tǒng)。

            2 基于SOPC的硬件設(shè)計(jì)與開(kāi)發(fā)

            片上可編程系統(tǒng)(System on a Programmable Chip,SOPC)將處理器、存儲(chǔ)器、I/O口、LVDS和CDR等系統(tǒng)設(shè)計(jì)需要的功能模塊集成到一個(gè)PLD器件上構(gòu)建成一個(gè)可編程的片上系統(tǒng),是一種靈活高效的SOC解決方案。SOPC結(jié)合了SOC和可編程邏輯器件各自的優(yōu)點(diǎn),具有靈活的設(shè)計(jì)方式、可剪裁、可擴(kuò)充、可升級(jí),并具備軟硬件在系統(tǒng)可編程的功能,成為SOC設(shè)計(jì)的新趨勢(shì)。

            2.1 模塊硬件架構(gòu)

            模塊硬件主要由NiosII軟核處理器、以太網(wǎng)組件、1553B總線組件、存儲(chǔ)器、片內(nèi)RAM、通用I/O接口和調(diào)試配置模塊7部分組成,架構(gòu)示意如圖1所示。

            

           

            1)NiosII軟核處理器:模塊的CPU,負(fù)責(zé)系統(tǒng)工作調(diào)度。在其內(nèi)部移植了μC/OSII實(shí)時(shí)操作系統(tǒng)和LwIP協(xié)議;它接受客戶端通過(guò)以太網(wǎng)發(fā)送過(guò)來(lái)的請(qǐng)求,經(jīng)解析后回復(fù)Web界面或控制1553B功能接口;2)以太網(wǎng)組件(DM9000A):完成對(duì)以太網(wǎng)控制器的操作,將網(wǎng)絡(luò)上的數(shù)據(jù)經(jīng)以太網(wǎng)控制器送到網(wǎng)際層,由NioslI軟核處理器內(nèi)的LwIP協(xié)議處理;或者將網(wǎng)際層送來(lái)的數(shù)據(jù)經(jīng)以太網(wǎng)接口發(fā)送出去:3)1553B總線組件(BU-61580):受NiosllI軟核處理器控制,完成在BC模式下各類消息和命令的發(fā)送及狀態(tài)的讀取;4)Flash存儲(chǔ)器:主要用來(lái)存儲(chǔ)模塊的一些固定參數(shù)和靜態(tài)Web界面;5)片內(nèi)RAM:RAM是在剩余邏輯中用Quartusll內(nèi)所帶的MegaWizard管理器生成的,這樣就不需要外加RAM芯片。片內(nèi)RAM主要是用來(lái)存儲(chǔ)程序運(yùn)行過(guò)程中所接收和產(chǎn)生的一些數(shù)據(jù);6)通用I/O接口:用來(lái)完成對(duì)LED或按鍵的控制;7)JTAG調(diào)試模塊和EPCS配置模塊:J1TAG模塊用來(lái)完成對(duì)程序的調(diào)試工作。配置是對(duì)的內(nèi)容進(jìn)行編程的過(guò)程,每次上電后都需要配置,EPCS配置模塊允許NioslI訪問(wèn)EPCS串行配置器件。

            具體開(kāi)發(fā)主要有3部分工作:1)NiosII軟核處理器及其外設(shè)的設(shè)計(jì)。2)自定義宏功能模塊RAM的開(kāi)發(fā),這部分工作在QuartuslI軟件中完成;3)應(yīng)用軟件程序的編寫(xiě),在NiosIIIDE軟件開(kāi)發(fā)環(huán)境中完成。

            2.2 模塊硬件設(shè)計(jì)

            SOPC Builder是Altera公司為用戶自定義系統(tǒng)而開(kāi)發(fā)的工具。用戶使用SOPC Builder可以將IP核、存儲(chǔ)器、接口、微處理器和自定義組件等復(fù)雜系統(tǒng)組件簡(jiǎn)單又快速的集成到Ahera高密度FPGA芯片上。按照模塊硬件系統(tǒng)的要求,借助SOPC Builder生成的模塊硬件結(jié)構(gòu),包括:32位標(biāo)準(zhǔn)NiosII軟核處理器:cpu;JTAG調(diào)試接口:jtag-debug-module;外部SDRAM存儲(chǔ)器接口:sdram;Avalon三態(tài)橋:tri_state_brid ge;EPCS串行閃存控制器:EPCS_controller;外部FLASH存儲(chǔ)器接口:cfi_flash;定時(shí)/計(jì)數(shù)器:time0/timel;以太網(wǎng)接口:dm9000a;1553B接口:bu61580;LED接口:led;64KRAM接口:RAM;按鍵接口:key;系統(tǒng)識(shí)別模塊:sysid。

            

           

            2.3 宏功能模塊RAM生成

            CycloneII器件內(nèi)部的RAM塊只有M4K一種,可用來(lái)實(shí)現(xiàn)真正的雙端口、簡(jiǎn)單雙端口和單端口的RAM,可以支持移位寄存器和ROM方式。用QuartusII內(nèi)所帶的MegaWizard管理器來(lái)生成RAM,首先運(yùn)行MegaWizard管理器選擇“創(chuàng)建一個(gè)新的宏功能”,在出現(xiàn)的對(duì)話框內(nèi)選擇Insta lled Plug-Ins下的memory compiler下的RAM:1-PORT,如圖3所示。

            

           

            在接下來(lái)的各頁(yè)中選擇如下參數(shù):數(shù)據(jù)線寬度16位;存儲(chǔ)64個(gè)字;自動(dòng)單時(shí)鐘驅(qū)動(dòng);選擇生成*.v,*.bsf,*_inst.v,*_bb.v,*_waveforms.html 5個(gè)文件。其他參數(shù)默認(rèn)即可。

          tcp/ip相關(guān)文章:tcp/ip是什么


          通信相關(guān)文章:通信原理


          存儲(chǔ)器相關(guān)文章:存儲(chǔ)器原理



          上一頁(yè) 1 2 3 下一頁(yè)

          關(guān)鍵詞: NiosⅡ 1553B FPGA

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();