<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 手機(jī)與無(wú)線通信 > 設(shè)計(jì)應(yīng)用 > 直接數(shù)字合成技術(shù)實(shí)現(xiàn)函數(shù)信號(hào)發(fā)生器

          直接數(shù)字合成技術(shù)實(shí)現(xiàn)函數(shù)信號(hào)發(fā)生器

          作者:吳懷明 周善波 李泳東2 時(shí)間:2015-12-09 來(lái)源:電子產(chǎn)品世界 收藏
          編者按:本文利用直接數(shù)字合成技術(shù)通過(guò)一款FPGA可編程邏輯芯片實(shí)現(xiàn)函數(shù)信號(hào)發(fā)生器的研制,該信號(hào)發(fā)生器是以Altera公司生產(chǎn)的EP4CE6F17C8芯片為設(shè)計(jì)載體,通過(guò)DDS技術(shù)實(shí)現(xiàn)兩路同步信號(hào)輸出。通過(guò)軟件Quartus-II12.0和Nios-II 12.0開(kāi)發(fā)環(huán)境編程,實(shí)現(xiàn)多種波形信號(hào)輸出,信號(hào)具有高精度的頻率分辨率能力,最高可達(dá)36位。最后通過(guò)實(shí)驗(yàn)輸出的波形信號(hào)符合標(biāo)準(zhǔn)。

          摘要:本文利用通過(guò)一款可編程邏輯芯片實(shí)現(xiàn)函數(shù)的研制,該是以Altera公司生產(chǎn)的EP4CE6F17C8芯片為設(shè)計(jì)載體,通過(guò)DDS技術(shù)實(shí)現(xiàn)兩路同步信號(hào)輸出。通過(guò)軟件12.0和Nios-II 12.0開(kāi)發(fā)環(huán)境編程,實(shí)現(xiàn)多種波形信號(hào)輸出,信號(hào)具有高精度的頻率分辨率能力,最高可達(dá)36位。最后通過(guò)實(shí)驗(yàn)輸出的波形信號(hào)符合標(biāo)準(zhǔn)。

          本文引用地址:http://www.ex-cimer.com/article/283528.htm

          引言

            隨著電子技術(shù)的發(fā)展,微處理器時(shí)代的到來(lái),數(shù)字處理技術(shù)逐步替代了模擬信號(hào)處理技術(shù),加快了數(shù)字信號(hào)處理技術(shù)的發(fā)展。是測(cè)試計(jì)量、儀器儀表系統(tǒng)中不可或缺的測(cè)試設(shè)備,信號(hào)處理技術(shù)的快速發(fā)展和計(jì)算機(jī)技術(shù)的不斷進(jìn)步,使得信號(hào)發(fā)生器的性能指標(biāo)不斷提高,功能也不斷豐富,信號(hào)源在工業(yè)生產(chǎn)、科研實(shí)驗(yàn)中獲得了越來(lái)越多的應(yīng)用范圍,如在電子系統(tǒng)、電路仿真、型號(hào)試驗(yàn)等一系列系統(tǒng)中都要用到信號(hào)發(fā)生器。信號(hào)發(fā)生器在工業(yè)現(xiàn)場(chǎng)通過(guò)模擬傳感器信號(hào)調(diào)試設(shè)備,例如模擬氧傳感器、壓力傳感器等直流信號(hào)。

            目前,信號(hào)源主要由頻率合成、信號(hào)調(diào)理、調(diào)制三大部分構(gòu)成,頻率合成部分主要產(chǎn)生所需要的頻率和波形信號(hào);信號(hào)調(diào)理部分實(shí)現(xiàn)信號(hào)的幅度參數(shù)調(diào)節(jié);調(diào)制部分負(fù)責(zé)將低頻調(diào)制信號(hào)調(diào)制到射頻載波的某一參數(shù)上。信號(hào)源從頻率合成原理上基本分為三類(lèi):一是直接模擬合成技術(shù);二是間接合成技術(shù);三是。直接模擬合成技術(shù)理論相對(duì)成熟,其頻率的切換主要受限于選頻電路電子開(kāi)關(guān)濾波器的響應(yīng)速度,跳頻速度比較快,這類(lèi)頻率合成器模擬電路比較多、相對(duì)復(fù)雜。間接合成技術(shù)則是采用鎖相環(huán)(PLL)技術(shù),其硬件電路的組成相對(duì)直接模擬合成方式要簡(jiǎn)單,原理也比較復(fù)雜,由于鎖相環(huán)本身的特性,其頻率切換時(shí)間比直接數(shù)字合成慢許多。簡(jiǎn)稱DDS(Direct Digital Synthesizer)技術(shù)是一種相對(duì)較新的頻率合成技術(shù),直接改變頻率控制字就可以實(shí)現(xiàn)頻率的切換,DDS的頻率變化是瞬時(shí)的目前可以達(dá)到納秒。本文研制的基于技術(shù)的DDS信號(hào)源就是采用的直接數(shù)字合成技術(shù)。

          1 直接數(shù)字合成技術(shù)的原理

            直接數(shù)字頻率合成技術(shù)簡(jiǎn)稱DDS(Direct Digital Synthesizer)技術(shù)是從相位概念出發(fā)的直接合成所需要波形的一種新的頻率合成技術(shù)。DDS是利用信號(hào)相位與幅度的關(guān)系,對(duì)需要合成的信號(hào)波形進(jìn)行相位分割,對(duì)分割后的相位值賦予相應(yīng)的地址,然后按時(shí)鐘頻率以一定的步長(zhǎng)抽取這些地址,這樣按照一定的步長(zhǎng)抽取地址(相位累加器值)的同時(shí),輸出相應(yīng)的幅度樣值,這些幅度樣值的包絡(luò)反映了需要合成信號(hào)的波形。一個(gè)直接數(shù)字頻率合成器由相位累加器、加法器、波形存儲(chǔ)器、D/A轉(zhuǎn)換器和低通濾波器構(gòu)成。其中DDS的原理框圖如圖1所示。

            DDS技術(shù)是數(shù)字控制的從一個(gè)標(biāo)準(zhǔn)參考頻率源生成多種頻率技術(shù),把一系列數(shù)字化形成的信號(hào)通過(guò)D/A轉(zhuǎn)換成模擬信號(hào)的合成技術(shù)。例如正弦波的生成是通過(guò)高速存儲(chǔ)器查找表,利用高速D/A轉(zhuǎn)換器產(chǎn)生已經(jīng)用數(shù)字形式存入的正弦波。圖1中的頻率控制字和相位控制字分別控制DDS輸出正余弦的頻率和相位。DDS系統(tǒng)的核心是相位累加器,它由一個(gè)累加器和1個(gè)N位的相位寄存器組成。每來(lái)一個(gè)時(shí)鐘脈沖,相位寄存器以步長(zhǎng)M增加。相位寄存器的輸出與相位控制字相加,其結(jié)果作為正弦的查找表的地址,正弦查找表由ROM構(gòu)成,內(nèi)部存有完整的周期正弦波數(shù)字信息,每個(gè)查找表的地址對(duì)應(yīng)的正弦波0-2π范圍內(nèi)的一個(gè)相位點(diǎn)。查找表把輸入的地址信息映射成正弦的數(shù)字幅度信號(hào),同時(shí)輸出給模數(shù)轉(zhuǎn)換器D/A,模數(shù)轉(zhuǎn)換器D/A輸出的模擬信號(hào)經(jīng)過(guò)低通濾波器,可以得到一個(gè)頻譜純凈的正弦波。

            對(duì)這個(gè)頻譜純凈的正弦信號(hào)可以用如下公式來(lái)描述:

          (1)

            其相位為:

          (2)

            顯然,該正弦信號(hào)相位和幅值均為連續(xù),為了便于采用數(shù)字技術(shù),應(yīng)對(duì)連續(xù)的正弦信號(hào)進(jìn)行離散化處理,即把相位和幅值均轉(zhuǎn)換為數(shù)字量。

            用頻率為fclk的基準(zhǔn)時(shí)鐘對(duì)正弦信號(hào)進(jìn)行抽樣,這樣,在一個(gè)基準(zhǔn)時(shí)鐘周期Tclk內(nèi),相位的變化量為:

           (3)

            由式(3)得到的Δθ為模擬量,為了把Δθ轉(zhuǎn)換為數(shù)字量,將2π切割成2N 等份作為最小量化單位,從而得到Δθ數(shù)字量M為:

           (4)

            將式(3)代入式(4)得:

           (5)

            經(jīng)變化后得:

          (6)

            目前,DDS技術(shù)具有超寬的相對(duì)寬帶,超高的切換速率,超細(xì)的分辨率以及相位的連續(xù)性,可編程、全數(shù)字化以及可方便實(shí)現(xiàn)各種調(diào)制等優(yōu)越性能,產(chǎn)生的波形信號(hào)準(zhǔn)確、精度可靠、抗干擾性強(qiáng)。但存在誤差大的缺點(diǎn),限于數(shù)字電路的工作速度,DDS的頻率上限目前還只能達(dá)到數(shù)百兆。

          2 總體方案的選擇

            DDS信號(hào)發(fā)生器的設(shè)計(jì)方案有很多,可以采用單片專(zhuān)用集成電路芯片解決,也可以采用高速的微處理芯片來(lái)設(shè)計(jì),還可以采用芯片來(lái)設(shè)計(jì),基本的設(shè)計(jì)方案簡(jiǎn)介如下。

          2.1 采用高性能的DDS集成電路方案

            隨著微電子技術(shù)的飛速發(fā)展,目前高超性能優(yōu)良的DDS產(chǎn)品不斷推出,美國(guó)AD公司也相繼推出了他們的DDS系列:AD9850、AD9851、可以實(shí)現(xiàn)線性調(diào)頻的AD9852、兩路正交輸出的AD9854以及以DDS為核心的QPSK調(diào)制器AD9853、數(shù)字上變頻器AD9856和AD9857。AD公司的DDS系列產(chǎn)品已具有較高的性價(jià)比,目前取得了極為廣泛的應(yīng)用。采用專(zhuān)用的DDS芯片AD9850來(lái)設(shè)計(jì)電路,其典型電路如圖2所示。

            優(yōu)點(diǎn):開(kāi)發(fā)周期短,實(shí)現(xiàn)系統(tǒng)簡(jiǎn)單(最小系統(tǒng)+DDS芯片),系統(tǒng)后加模擬調(diào)理電路。

            缺點(diǎn):國(guó)外廠商的芯片的輸出指標(biāo)盡管很高,如有AD9852、AD9854,而且實(shí)現(xiàn)起來(lái)比較簡(jiǎn)單,只需送人按其指定的公式算出頻率控制字即可輸出波形,但存在著功能單一的缺點(diǎn)。

          2.2 采用FPGA芯片的DDS方案

            DDS技術(shù)的實(shí)現(xiàn)依賴于高速、高性能的數(shù)字器件。而FPGA芯片就具有速度高、規(guī)模大、可編程以及有強(qiáng)大EDA軟件支持等特性,十分適合實(shí)現(xiàn)DDS技術(shù)。Altera公司的現(xiàn)場(chǎng)可編程邏輯陣列具有高性能、高集成度和高性價(jià)比的優(yōu)點(diǎn),此外它還提供了功能全面的開(kāi)發(fā)工具和豐富的IP核、宏功能庫(kù)等,因此Altera公司的產(chǎn)品在實(shí)現(xiàn)DDS技術(shù)方面獲得了廣泛的應(yīng)用。通過(guò)FPGA技術(shù)則可以根據(jù)需要靈活地實(shí)現(xiàn)各種比較復(fù)雜的調(diào)頻、調(diào)相和調(diào)幅功能,具有良好的實(shí)用性。針對(duì)生成波形信號(hào)質(zhì)量而言,專(zhuān)用的DDS芯片采用特定的集成工藝,內(nèi)部數(shù)字信號(hào)抖動(dòng)很小,可以輸出高質(zhì)量的模擬信號(hào),但控制功能單一固定;而FPGA也能輸出較高質(zhì)量的信號(hào),而且輸出信號(hào)靈活,雖然達(dá)不到專(zhuān)用DDS芯片的水平,但信號(hào)精度誤差在允許范圍之內(nèi)。

            基于DDS技術(shù)原理選用的可編程邏輯器件、D/A轉(zhuǎn)換模塊、外部存儲(chǔ)模塊,通過(guò)數(shù)學(xué)函數(shù)式來(lái)生成波形信號(hào),電路總體結(jié)構(gòu)框圖如圖3所示。

            優(yōu)點(diǎn):

            (1)RAM查詢表法結(jié)構(gòu)比較簡(jiǎn)單,只需要在RAM中存放不同相位對(duì)應(yīng)的幅度序列,然后根據(jù)相位累加器的輸出對(duì)其尋址,經(jīng)過(guò)D/A數(shù)模轉(zhuǎn)換器和低通濾波器輸出。

            (2)硬件實(shí)現(xiàn)比較容易,可以實(shí)現(xiàn)任意波形的輸出。

            在基于DDS原理的基礎(chǔ)上,利用可編程邏輯芯片設(shè)計(jì)的DDS硬件電路,同樣可以達(dá)到專(zhuān)用的DDS芯片所產(chǎn)生的波形性能。因此本系統(tǒng)采用的方案技術(shù)是基于FPGA技術(shù)的DDS設(shè)計(jì)方案。

          3 硬件電路的設(shè)計(jì)

            本文提出的信號(hào)源將采用方案二實(shí)現(xiàn),原理將通過(guò)直接數(shù)字合成的方式,逐點(diǎn)讀出波形存儲(chǔ)器中的波形數(shù)據(jù),通過(guò)D/A轉(zhuǎn)換器和低通濾波器后輸出所需的波形,通過(guò)改變參考時(shí)鐘的頻率和計(jì)數(shù)步長(zhǎng)就可以實(shí)現(xiàn)頻率的改變,本系統(tǒng)的硬件結(jié)構(gòu)框圖如圖3所示。

            本文提出的基于FPGA技術(shù)的DDS信號(hào)源的技術(shù)方案,由于可編程邏輯器件以其速度高、規(guī)模大、可編程及有強(qiáng)大的EDA軟件支持特性,十分適合實(shí)現(xiàn)DDS技術(shù),所以本系統(tǒng)采用Altera公司的Cyclone IV型EP4CE6F17C8作為波形生成的核心部件,具體芯片功能的特點(diǎn)如下。

            (1)低功耗、高性能,控制邏輯單元6272個(gè),片內(nèi)電壓支持3.3V,2.5V,內(nèi)核電壓1.2V。

            (2)支持多種下載方式,支持AS、AP、PS、FPP、JTAG。

            (3)FPGA是ASIC電路中設(shè)計(jì)周期最短、開(kāi)發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一。

            (4)FPGA采用高速CMOS工藝,功耗低,可以與CMOS、TTL電平兼容。

          3.1 電源電路的設(shè)計(jì)

            本系統(tǒng)采用的FPGA為Altera公司的Cyclone IV型EP4CE6F17C8,該芯片的片內(nèi)電壓支持3.3V,2.5V,1.2V,因此根據(jù)設(shè)計(jì)需要,使用線性穩(wěn)壓器件AMS1117系列分別產(chǎn)生相應(yīng)的電源電壓,供給本開(kāi)發(fā)系統(tǒng),該線性穩(wěn)壓芯片使用簡(jiǎn)單,紋波電壓小,對(duì)系統(tǒng)的干擾也小,電源電路如圖4所示。



          上一頁(yè) 1 2 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專(zhuān)區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();