<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁(yè) > 手機(jī)與無(wú)線通信 > 設(shè)計(jì)應(yīng)用 > 直接數(shù)字合成技術(shù)實(shí)現(xiàn)函數(shù)信號(hào)發(fā)生器

          直接數(shù)字合成技術(shù)實(shí)現(xiàn)函數(shù)信號(hào)發(fā)生器

          作者:吳懷明 周善波 李泳東2 時(shí)間:2015-12-09 來(lái)源:電子產(chǎn)品世界 收藏
          編者按:本文利用直接數(shù)字合成技術(shù)通過(guò)一款FPGA可編程邏輯芯片實(shí)現(xiàn)函數(shù)信號(hào)發(fā)生器的研制,該信號(hào)發(fā)生器是以Altera公司生產(chǎn)的EP4CE6F17C8芯片為設(shè)計(jì)載體,通過(guò)DDS技術(shù)實(shí)現(xiàn)兩路同步信號(hào)輸出。通過(guò)軟件Quartus-II12.0和Nios-II 12.0開(kāi)發(fā)環(huán)境編程,實(shí)現(xiàn)多種波形信號(hào)輸出,信號(hào)具有高精度的頻率分辨率能力,最高可達(dá)36位。最后通過(guò)實(shí)驗(yàn)輸出的波形信號(hào)符合標(biāo)準(zhǔn)。

          3.2 配置電路的設(shè)計(jì)

          本文引用地址:http://www.ex-cimer.com/article/283528.htm

            該款芯片支持5種下載方式,在本系統(tǒng)中采用JTAG下載方式,圖5中的nCONFIG、nSTATUS和CONF_DONE則需要拉高,MSEL引腳不能懸空。其配置電路如圖5所示。

          3.3 波形生成電路的設(shè)計(jì)

            波形生成電路是信號(hào)源的核心,也是DDS技術(shù)的集中體現(xiàn)。這部分電路主要通過(guò)EDA軟件12.0對(duì)編程實(shí)現(xiàn)。通過(guò)對(duì)FPGA內(nèi)部各種邏輯電路的設(shè)計(jì)實(shí)現(xiàn)輸出多種波形、波形個(gè)數(shù)的控制、輸出信號(hào)的門控等功能,具體模塊框圖如圖6所示。

            送數(shù)及保持模塊負(fù)責(zé)接受來(lái)自CPU內(nèi)核的相位步進(jìn)增量,并按一定的時(shí)序保持或傳送給相位累加器,相位累加器按時(shí)鐘頻率累加送來(lái)的相位步進(jìn)量。由于要求每個(gè)周期采樣點(diǎn)數(shù)不小于50個(gè)且信號(hào)頻率達(dá)到1MHz,所以相位累加器的時(shí)鐘頻率需達(dá)到50MHz以上,時(shí)鐘信號(hào)由鎖相環(huán)通過(guò)倍頻分頻后提供。相位累加器的輸出信號(hào)送到各波形生成電路,通過(guò)線路選擇模塊可選擇其中一種波形輸出送給后級(jí)選擇電路,再通過(guò)線路選擇模塊選擇普通模式、門控模式、計(jì)數(shù)模式其中的一種模式,最后波形信號(hào)由輸出級(jí)輸出。

          3.3.1 頻率控制字電路

            由于相位累加器需要輸入36位二進(jìn)制數(shù),因此需要通過(guò)時(shí)序來(lái)控制擴(kuò)展電路的位數(shù)。

            如圖7所示,4個(gè)8位D觸發(fā)器和1個(gè)4位D觸發(fā)器D0~D4,D0~D4的輸出端按順序接到36位的D觸發(fā)器D5上,用寫信號(hào)WR和譯碼器輸出信號(hào)Y作為D觸發(fā)器的時(shí)序信號(hào),當(dāng)WR和譯碼器信號(hào)Y的一路同時(shí)為低電平時(shí),即D觸發(fā)器時(shí)鐘的上升沿到達(dá)時(shí),P0口的數(shù)據(jù)就通過(guò)選通D觸發(fā)器送出。按照這樣,將4組8位二進(jìn)制數(shù)和1組4位二進(jìn)制數(shù)依次送到觸發(fā)器D0~D4,當(dāng)WR和譯碼器信號(hào)Y5同時(shí)有效時(shí)將36位數(shù)據(jù)同時(shí)送入觸發(fā)器D5。

            相位累加器是整個(gè)DDS系統(tǒng)的核心,它設(shè)計(jì)的好壞直接影響著整個(gè)系統(tǒng)的功能和性能。電路如圖8所示。從工作情況看,它實(shí)際上是一個(gè)帶反饋的36位加法器,輸出數(shù)據(jù)反饋到加法器的一個(gè)輸入端DATAa,在時(shí)鐘的作用下與輸入到另一個(gè)輸入端DATAb的頻率控制字K相加,結(jié)果由輸出端輸出。輸出結(jié)果一方面又反饋到輸入端,另一方面將為后續(xù)電路提供輸入信號(hào)。DCLK為DDS系統(tǒng)時(shí)鐘輸入端,它是由鎖相環(huán)倍頻分頻輸出提供的,OUTPUT為相位累加器的輸出端,輸出值用U[35..0]表示。

          3.4 模數(shù)轉(zhuǎn)換電路的設(shè)計(jì)

            在波形數(shù)據(jù)產(chǎn)生以后,產(chǎn)生的數(shù)據(jù)通過(guò)D/A轉(zhuǎn)換器,將數(shù)字信號(hào)變?yōu)槟M信號(hào),本系統(tǒng)選用的數(shù)模轉(zhuǎn)換器是AD公司的AD9762。AD9762是一種低功耗、12位、125Msps的高速、并行輸出的模數(shù)轉(zhuǎn)換器,其相對(duì)精度為±2LSB,AD9762可采用2.7V~5.5V電源工作。由于差分信號(hào)具有抑制共模增益的作用,信號(hào)特性更好,所以設(shè)計(jì)采用AD8056AR運(yùn)算放大器實(shí)現(xiàn)了差分信號(hào)轉(zhuǎn)單端的電壓信號(hào)。具體電路如圖9所示。

          4 系統(tǒng)的軟件設(shè)計(jì)

            本系統(tǒng)初始化包括FPGA內(nèi)核初始化、波形信號(hào)初始化,如正弦波,頻率為1KHz初始值設(shè)定。系統(tǒng)初始化完成后通過(guò)軟件對(duì)從芯片中讀出的數(shù)據(jù)進(jìn)行校準(zhǔn),校準(zhǔn)完成后進(jìn)入鍵盤掃描。當(dāng)檢測(cè)到有按鍵按下時(shí),系統(tǒng)進(jìn)入相應(yīng)的子程序,其主程序流程圖如圖10所示。

          5 實(shí)驗(yàn)結(jié)論

            本系統(tǒng)研制的函數(shù)可以實(shí)現(xiàn)雙路同步數(shù)據(jù)輸出,一路TTL電平輸出,一路標(biāo)準(zhǔn)波形輸出,包括正弦波、方波、鋸齒波、直流電壓信號(hào)。其中正弦波最大帶寬10MHz,其它波形最大帶寬100KHz,峰-峰值10.4V。本系統(tǒng)經(jīng)計(jì)量所檢定機(jī)構(gòu)檢測(cè),檢定結(jié)果如下。

            1. 輸出頻率準(zhǔn)確度,如表1所示。

            2. 最大開(kāi)路輸出幅度(≥10Vpp):10.4V(峰峰值)。

            3. 輸出最大頻響,如表2所示。

            4. 正弦波失真度,如表3所示。

            5. TTL電平輸出正常。

            6. 上升時(shí)間/上沖(<100ns/2%):64ns/0%。

            其中測(cè)試的波形信號(hào)符合標(biāo)準(zhǔn),波形信號(hào)分別如圖11正弦波、圖12方波、圖13鋸齒波、圖14直流電壓所示?;贔PGA技術(shù)的DDS信號(hào)源,通過(guò)了檢定機(jī)構(gòu)驗(yàn)證,保證波形符合標(biāo)準(zhǔn)的基礎(chǔ)上大膽采用一些新的設(shè)計(jì)思想,既縮短了開(kāi)發(fā)周期,減小了電路板空間,又節(jié)省了成本。本文研制的這款信號(hào)源將為電路設(shè)計(jì)人員調(diào)試設(shè)備、測(cè)試電路帶來(lái)極大的便利。

          參考文獻(xiàn):

            [1]王文華.基于DDS技術(shù)的任意波形發(fā)生器研究[D].浙江:浙江大學(xué)碩士學(xué)位論文,2002:1-4

            [2]于鴻洲.基于DDS技術(shù)的函數(shù)波形發(fā)生器設(shè)計(jì)[D].黑龍江:哈爾濱工業(yè)大學(xué)碩士學(xué)位論文,2006:9-11

            [3]李春明.數(shù)字波形合成技術(shù)理論研究與實(shí)踐[D].黑龍江:哈爾濱工業(yè)大學(xué)博士學(xué)位論文,1995:19-35

            [4]任麗軍,童子權(quán),趙愛(ài)明.雙相的設(shè)計(jì)[J].電測(cè)與儀表,2002,8:17-19

            [5]蔡自興.智能控制[M].北京:電子工業(yè)出版社,1990:35-43

            [6]欒寶寬,方蕾,馮永浩.基于DDS的的設(shè)計(jì)與實(shí)現(xiàn)[J].電子工程師,2005,31(10):38-3

            [7]周俊峰.基于FPGA的直接數(shù)字頻率合成器的設(shè)計(jì)和實(shí)現(xiàn)[J].電子技術(shù),2005,5:43-45

            [8]林建英,王濤,.基于DDS技術(shù)波形發(fā)生器的實(shí)驗(yàn)教學(xué)研究與實(shí)施[J].實(shí)驗(yàn)科學(xué)與技術(shù),2006,4(1):75-78

            [9]井新宇.基于CPU和FPGA/CPLD結(jié)構(gòu)設(shè)計(jì)電子系統(tǒng)[J].電子工程師,2004,30(8):21-24

            [10]童子權(quán),任麗軍,張禮勇.寬帶信號(hào)調(diào)理電路的設(shè)計(jì)[J].哈爾濱理工大學(xué)學(xué)報(bào),2000,3(5):71-74

            [11]張福貴,姚振東.基于DDS的高性能信號(hào)發(fā)生器的實(shí)現(xiàn)[J].成都信息工程學(xué)院學(xué)報(bào),2006,21(1):12-17


          上一頁(yè) 1 2 下一頁(yè)

          評(píng)論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();