<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          關(guān) 閉

          新聞中心

          EEPW首頁 > 工控自動化 > 設(shè)計應(yīng)用 > 基于CPLD的PSK系統(tǒng)設(shè)計

          基于CPLD的PSK系統(tǒng)設(shè)計

          ——
          作者:沈琰 李龍江 時間:2007-08-20 來源:微計算機(jī)信息 收藏
          1 引言

            現(xiàn)代通信系統(tǒng)要求通信距離遠(yuǎn)、通信容量大、傳輸質(zhì)量好。作為其關(guān)鍵技術(shù)之一的技術(shù)一直是人們研究的一個重要方向[5]。從模擬調(diào)制到數(shù)字調(diào)制,從二進(jìn)制發(fā)展到多進(jìn)制調(diào)制,雖然調(diào)制方式多種多樣,但都是朝著使通信系統(tǒng)更高速、更可靠的方向發(fā)展。一個系統(tǒng)的通信質(zhì)量,很大程度上依賴于所采用的調(diào)制方式。因此,對調(diào)制方式的研究,將直接決定著通信系統(tǒng)質(zhì)量的好壞[1]。

            復(fù)雜可編程邏輯器件()結(jié)合了專用集成電路和DSP的優(yōu)勢,既具有很高的處理速度,又具有一定的靈活性。因此,基于的數(shù)字調(diào)制系統(tǒng)的研究具有重要的實(shí)際意義。本文論述了如何用實(shí)現(xiàn)數(shù)字調(diào)制系統(tǒng)的方法,其實(shí)現(xiàn)步驟包括:1.研究調(diào)制系統(tǒng)的原理及設(shè)計方法;2.根據(jù)各個系統(tǒng)的總體功能與硬件特點(diǎn),設(shè)計總體框圖;3.根據(jù)語言特點(diǎn),對系統(tǒng)進(jìn)行建模;4.根據(jù)模型,進(jìn)行具體VHDL語言程序設(shè)計;5.對設(shè)計的程序進(jìn)行波形仿真與硬件調(diào)試。

            2 系統(tǒng)的原理

            載有基帶信號的高頻正弦波信號稱為載波,數(shù)學(xué)上準(zhǔn)確表示正弦波時,經(jīng)常采用振幅A、角頻率 和相位 三要素,即

            

          y(t)=A cos( t + )                     (2-1)    

            根據(jù)基帶信號的值,改變?nèi)刂械娜魏我环N,就有了3種基本的調(diào)制方式:數(shù)字信號對載波振幅調(diào)制稱為振幅鍵控,即ASK(Amplitude Shift Keying);對載波頻率調(diào)制稱為頻移鍵控,即FSK(Frequency Shift Keying)[3];對載波相位調(diào)制稱為相移鍵控(相位鍵控),即(Phase Shift Keying)[2]。

            由于PSK系統(tǒng)抗噪聲性能優(yōu)于ASK和FSK,而且頻帶利用率較高,所以,在中、高速數(shù)字通信中被廣泛采用。

            本文只對PSK調(diào)制方式加以論述[4]。

            3 系統(tǒng)的總體方案設(shè)計

            3.1 CPSK系統(tǒng)設(shè)計

            CPSK由發(fā)送端的調(diào)制模塊與接收端的解調(diào)模塊構(gòu)成,其系統(tǒng)框圖如圖3-1所示。在發(fā)送端,對于調(diào)制模塊,首先產(chǎn)生兩種不同相位的載波信號f1和f2,再通過一個二選一選通開關(guān)來選擇載波信號,其中具體的載波信號由輸入的基帶信號來決定。這些信號處理都在CPLD中實(shí)現(xiàn),輸出的即為CPSK調(diào)制信號,最后通過信道發(fā)送到接收端。對于解調(diào)模塊,調(diào)制信號先由位同步提取電路提取出載波同步信號,然后由載波同步信號來控制計數(shù)器的啟動與停止,分別對調(diào)制信號來計數(shù),最后通過一個判決電路來判斷輸入的調(diào)制信號是‘0’ 還是‘1’,輸出的即為解調(diào)的基帶信號。

          BCPSK系統(tǒng)框圖

            圖3-1  BCPSK系統(tǒng)框圖

            3.2 DPSK系統(tǒng)設(shè)計

          BDPSK系統(tǒng)框圖

                                                                            圖3-2  BDPSK系統(tǒng)框圖
           
                 DPSK信號應(yīng)用較多,但由于它的調(diào)制規(guī)律比較復(fù)雜,難以直接產(chǎn)生,目前DPSK信號的產(chǎn)生較多地采用碼變換加CPSK調(diào)制而獲得。這種方法是把原基帶信號經(jīng)過絕對碼——相對碼變換后,用相對碼進(jìn)行CPSK調(diào)制,其輸出便是DPSK信號。同樣,對于DPSK信號的解調(diào),則要經(jīng)過相對碼——絕對碼變換。其系統(tǒng)框圖如圖3-2所示。

            4 基于VHDL的PSK系統(tǒng)電路設(shè)計及實(shí)現(xiàn)

            4.1 2CPSK調(diào)制模塊

           2CPSK調(diào)制模塊的VHDL模型方框圖

            圖4-1  2CPSK調(diào)制模塊的VHDL模型方框圖

            2CPSK調(diào)制模塊的VHDL模型方框圖如圖4-1所示,其模型主要由計數(shù)器和二選一開關(guān)等組成。計數(shù)器對外部時鐘信號進(jìn)行分頻與計數(shù),并輸出兩路相位相反的數(shù)字載波信號;二選一開關(guān)的功能是:在基帶信號的控制下,對兩路載波信號進(jìn)行選通,輸出的信號即為CPSK信號。圖中沒有包括模擬電路部分,輸出信號為數(shù)字信號。

            其波形仿真圖如圖4-2所示。其中載波信號f1、f2是通過系統(tǒng)時鐘clk分頻得到,且滯后系統(tǒng)時鐘一個clk周期;調(diào)制輸出信號y滯后載波一個clk周期,滯后系統(tǒng)時鐘2個clk周期。

          2CPSK調(diào)制模塊的波形仿真圖

            圖4-2  2CPSK調(diào)制模塊的波形仿真圖


           

            4.2 2CPSK解調(diào)模塊

          2CPSK調(diào)解模塊的VHDL模型方框圖

                                                     圖4-3  2CPSK調(diào)解模塊的VHDL模型方框圖
           
                 2CPSK解調(diào)模塊的VHDL模型方框圖如圖4-3所示。圖中的計數(shù)器q輸出與發(fā)端同步的0向數(shù)字載波。判決器的工作原理是:把計數(shù)器輸出的0相載波與數(shù)字CPSK信號中的載波進(jìn)行邏輯“與”運(yùn)算,當(dāng)兩比較信號在判決時刻都為“1”時,輸出為“1”,否則輸出為“0”,以實(shí)現(xiàn)解調(diào)的目的。圖中沒有包含模擬電路部分,調(diào)制信號為數(shù)字信號。

          2CPSK調(diào)解模塊的波形仿真圖

                                                     圖4-4  2CPSK調(diào)解模塊的波形仿真圖
           
              其波形仿真圖如圖4-4所示。當(dāng)q=0時,根據(jù)x的電平來進(jìn)行對相位的判決;其中輸出信號y滯后輸入信號x一個clk周期。

            4.3 絕對碼-相對碼轉(zhuǎn)換模塊

            絕對碼——相對碼之間的關(guān)系為

                                                               絕對碼(式4-1)

          絕對碼-相對碼轉(zhuǎn)換模塊的VHDL模型方框圖

                                           圖4-5 絕對碼-相對碼轉(zhuǎn)換模塊的VHDL模型方框圖
           
              由此,可得到絕對碼—相對碼轉(zhuǎn)換模塊的VHDL模型方框圖,如圖4-5所示。圖中的計數(shù)器與圖4-3中的計數(shù)器相同,異或門與寄存器共同完成絕/相變換功能。

            相對碼—絕對碼轉(zhuǎn)換模塊與此類似,此處就不加以論述。

            5 系統(tǒng)調(diào)試總結(jié)

            本課題研究并追蹤了通信領(lǐng)域和EDA設(shè)計領(lǐng)域的兩項(xiàng)關(guān)鍵技術(shù)——技術(shù)和可編程邏輯技術(shù),所有設(shè)計工作都是在一塊CPLD實(shí)驗(yàn)開發(fā)板上完成的,選用了Altera公司型號為EPM7128SLC84-7作為主芯片的。其中輸入信號由提供,經(jīng)過CPLD處理后,輸出信號的波形可通過示波器觀察[6]。但由于調(diào)制系統(tǒng)與解調(diào)系統(tǒng)的測試是分開進(jìn)行的,這樣勢必有不直觀性,并且未能考慮到實(shí)際

          系統(tǒng)中的不定因素。而以上這些,是本設(shè)計將來要進(jìn)一步改善與發(fā)展的地方。

            論文創(chuàng)新點(diǎn):論文采用自上而下的開發(fā)方式,通過復(fù)雜可編程邏輯器件(CPLD)設(shè)計實(shí)現(xiàn)調(diào)制解調(diào)系統(tǒng),以直接提高通信系統(tǒng)質(zhì)量。

          linux操作系統(tǒng)文章專題:linux操作系統(tǒng)詳解(linux不再難懂)


          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();