<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > Xilinx FPGA開發(fā)環(huán)境的配置

          Xilinx FPGA開發(fā)環(huán)境的配置

          作者:風子心 時間:2008-06-05 來源:電子開發(fā)網(wǎng) 收藏

            一、Modelsim ISE的

          本文引用地址:http://www.ex-cimer.com/article/83735.htm

            1、編譯庫:

            A、先將Modelsim安裝目錄C=Modeltech_6.2b下面的modelsim.ini改成存檔格式(取消只讀模式);
              B、在DOS環(huán)境中,進入的根目錄,然后依次進入bin,nt目錄;
              C、compxlib -s mti_se -f all -l all -o C:Modeltech_6.2bxilinx_libs。
            注意:需要根據(jù)你安裝的modelsim目錄更改C:Modeltech_6.2b
            然后就Ok了,就可以的ISE中啟動Modelsim進行所有的了。


            2、如何在 ISE中使用Modelsim ISE,Synplify進行綜合和仿真:

            A、打開Xilinx ISE,新建一個Project;

          ①、在菜單File中選擇“New Project”,彈出如下的對話框:

          ②、輸入Project名稱,并選擇好Project保存的路徑,然后下一步:

           按照上邊的參數(shù)進行設(shè)置(針對于Spatan 3E的開發(fā)板),然后單擊下一步,進入到后面的界面:

           ③、單擊“New Source”按鈕,并按照下面的設(shè)置來操作:

          ④、參照下面的參數(shù),進行設(shè)置,然后一直選擇默認選項,一直到完成。

          最后生成的項目界面如下圖所示:

            B、輸入代碼,然后用Synplify綜合:

            ①、參考代碼:

            entity Count iS
              Port(CLK    :in  STD_LOGIC;
              RESET  :in  STD_LOGIC;
              LOAD    :in  STD_LOGIC;
              DATA IN:in  STD_LOGIC_VECTOR(3 downto 0);
              Qout    :out STD_LOGIC_VECTOR(3 downto 0));
          end Count;

            architecture Behavioral of Count is
          signal tmpCount:STD_LOGIC_VECTOR(3 downto 0);
          begin
            process(CLK,RESET,LOAD)
            begin
              if RESET='1' then
              tmpCount<="0000";
              else
              if LOAD='1' then
              tmpCount<=DATA_IN;
              elsif CLK'event and CLK='1' then
              tmpCount<=tmpCount+1;
              end if;
              end if;
              end process;

                Qout<=tmpCount:
          end Behavioral;

          ②、雙擊Processes窗口里面的“Synthesize-Synplify”進行綜合

          ③、在“Transcript”窗口中的可以看到綜合的信息。

            C、時序仿真:

          ①、從菜單“Project”中選擇“New source”。按照下圖所示輸入,然后選擇下一步

          ②、在“Associate source”選擇需要進行時序仿真的HDL代碼,然后選擇下一步

           


          上一頁 1 2 下一頁

          評論


          相關(guān)推薦

          技術(shù)專區(qū)

          關(guān)閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();