<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          新聞中心

          EEPW首頁 > 模擬技術 > 設計應用 > 基于FPGA的ARINC429總線接口卡設計

          基于FPGA的ARINC429總線接口卡設計

          作者:成都電子科技大學自動化工程學院 鄭玉 田書林 李力 時間:2008-07-31 來源:世界電子元器件 收藏

          always @(busy,clk_tx,serial_data)

          本文引用地址:http://www.ex-cimer.com/article/86480.htm

          begin

          if (busy)

          begin

          TTL1<=serial_data&clk_tx;

          TTL0<=~serial_data&clk_tx;

          end

          else begin

          TTL1<=0;

          TTL0<=0;

          end

          end

          endmodule

            發(fā)送控制邏輯用于協(xié)調緩存和信號發(fā)生器之間的數(shù)據(jù)傳遞。在緩存非空、busy無效(信號發(fā)生器狀態(tài)機處于TRANS狀態(tài)下busy有效)的條件下,一旦允許轉換信號entx有效,便開啟緩存的讀使能rden,并產生轉換數(shù)據(jù)的裝載信號load,以完成緩存數(shù)據(jù)的自動轉換和發(fā)送。

          接收器

            雙極性的ARINC429 信號通過解調電路轉換為兩路TTL 信號,TTL1和TTL0。后經接收器轉換成32位并行數(shù)據(jù)供主機讀取。接收器結構如圖5所示。為使數(shù)據(jù)接收具有一定的抗干擾能力,本設計采用一個16倍于碼速率的高速時鐘對數(shù)據(jù)進行檢測。同步字頭檢測模塊對高速時鐘進行計數(shù),當計數(shù)值計滿64(對應4位字間隔),即產生一個位接收允許信號rec_en,該信號啟動位檢測模塊。位檢測模塊對TTL0和TTL1信號進行監(jiān)控,一旦兩路串行數(shù)據(jù)中任一路為高,則標志有效數(shù)據(jù)開始發(fā)送。位檢測模塊對每一位數(shù)據(jù)進行三次檢測,在碼元的前半周期檢測兩次,后半周期檢測一次,只有這三次檢測都符合429信號標準才能被視為有效數(shù)據(jù),否則報錯并自動丟棄。字檢測模塊將正確檢出的位轉換為并行數(shù)據(jù)并做奇偶校驗和SDI校驗,校驗正確后數(shù)據(jù)被鎖存,并產生接收完成信號rec_done向主機發(fā)出中斷請求。

           

          時鐘發(fā)生器

            時鐘發(fā)生器對外部晶振(本設計采用的是12.8MHz的時鐘頻率)分頻產生100kHz和12.5kHz高低速率兩個發(fā)送時鐘,以及16倍于發(fā)送時鐘頻率的接收時鐘,高低速率可通過控制寄存器中相應位來選擇。在本設計中遵循同步設計原則,不是將分頻時鐘直接當時鐘用,而是采用了時鐘使能的方法,將分頻時鐘作為觸發(fā)器的使能控制。本設計的關鍵部分都采用了狀態(tài)機的方式,將分頻時鐘用做狀態(tài)機狀態(tài)間相互轉換的先決條件,從而實現(xiàn)了在整個設計中只有一個全局時鐘,避免了時鐘“滿天飛”的問題。

          USB總線接口通信模塊

            控制邏輯完成以下任務:通過對USB協(xié)議處理本地端的地址譯碼完成429總線接口的各種操作,如配置控制寄存器、寫數(shù)據(jù)發(fā)送緩存以及讀接收數(shù)據(jù)等。當主機要發(fā)送數(shù)據(jù)時,接口通信模塊將收到的8位數(shù)據(jù)按照429數(shù)字字的編碼格式組裝成32位數(shù)據(jù),并產生控制信號。將組裝好的32位數(shù)據(jù)寫入發(fā)送器的緩存中,之后根據(jù)總線譯碼,產生自動發(fā)送控制信號,通知發(fā)送器進行自動轉換和發(fā)送。同樣地,當接收完一個32位數(shù)據(jù)時,將這個32位數(shù)拆分成4個8位寄存器供主機讀取,它們中的一個對應標志位(LABEL),另外有兩個對應數(shù)據(jù)區(qū)(DATA),最后一個包含了數(shù)據(jù)字中剩余部分的信息。

          仿真與驗證

            本設計采用ModelSim SE 6.1b,對經過quartus6.0綜合布局布線后的設計進行時序仿真驗證。圖6和圖7分別為發(fā)送模塊和接收模塊在ModelSim中的時序仿真圖。

            圖6中data為要發(fā)送的并行32位ARINC429數(shù)據(jù)0x5a5a5a5a,mclk為全局時鐘信號,clk_tx和clk_tx_en分別是發(fā)送時鐘(占空比為50%)和同頻的發(fā)送時鐘使能信號,aout、bout即上文中發(fā)送器的輸出TTL1、TTL0,busy表示正在進行轉換。圖7中mclk為全局時鐘信號,ckl_rx_en是接收時鐘使能信號,_429ain、_429bin為接收器的輸入TTL1、TTL0,dout為收到的ARINC429數(shù)據(jù)0x75555555,以并行32位數(shù)據(jù)格式存入寄存器中,rec_done為接收完一個429數(shù)據(jù)發(fā)出的中斷信號。由時序仿真結果可以看出,F(xiàn)PGA可以正確實現(xiàn)ARINC429數(shù)據(jù)的發(fā)送和接收。

           
           

            在后期板級調試中,將自制板卡與現(xiàn)在市場上出售的429總線接口卡進行對接通信的方法來驗證,證明了本設計的FPGA協(xié)議處理和驅動電路工作無誤,可以正確進行429數(shù)據(jù)的收發(fā),完成429總線的數(shù)據(jù)通訊。

          結束語

            本設計采用了ALTERA公司的FPGAEP2C5Q208和CYPRESS公司的USB協(xié)議處理CY7C68013以及外圍的調制解調電路,實現(xiàn)了4路收發(fā)的429總線數(shù)據(jù)傳輸接口,并完成了與上位計算機的通信。該系統(tǒng)大部分功能都是在同一FPGA芯片內部實現(xiàn)的,發(fā)揮了FPGA的優(yōu)勢,提高了系統(tǒng)的穩(wěn)定性、集成度,并增強了抗干擾能力。利用FPGA的可重配置性,可以實現(xiàn)更多路ARINC429信號的接收與發(fā)送,可以大大降低重量、體積及成本,這在機載總線數(shù)據(jù)處理中具有較大的實用價值。
           


          上一頁 1 2 下一頁

          評論


          相關推薦

          技術專區(qū)

          關閉
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();