<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >>  xilinx

          大咖詳談FPGA,簡介、工作原理等

          •   FPGA工作原理與簡介  如前所述,F(xiàn)PGA是在PAL、GAL、EPLD、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為ASIC領(lǐng)域中的一種半定制電路而出現(xiàn)的,即解決了定制電路的不足,又克服了原有可編程器件門電路有限的缺點?! ∮捎贔PGA需要被反復(fù)燒寫,它實現(xiàn)組合邏輯的基本結(jié)構(gòu)不可能像ASIC那樣通過固定的與非門來完成,而只能采用一種易于反復(fù)配置的結(jié)構(gòu)。查找表可以很好地滿足這一要求,目前主流FPGA都采用了基于SRAM工藝的查找表結(jié)構(gòu),也有一些軍品和宇航級FPGA采用Flash或者熔絲與反熔
          • 關(guān)鍵字: FPGA  Xilinx  

          Xilinx 公司CEO兼總裁 Moshe Gavrielov 宣布退休

          •   All Programmable技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc.)今天宣布其首席執(zhí)行官(CEO)兼總裁 Moshe Gavrielov 已通知公司董事會,將于 2018 年 1 月 28 日卸任公司及董事會相關(guān)職位。Gavrielov 的引退為他在半導(dǎo)體和軟件相關(guān)企業(yè)中歷時 40 年的輝煌職業(yè)生涯劃下一個完美的休止符。董事會已選出公司原
          • 關(guān)鍵字: Xilinx  

          Xilinx RFSoC憑借先進技術(shù)的最佳運用榮膺ARM TechCon創(chuàng)新獎

          •   All Programmable技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布,其Zynq? UltraScale+? RFSoC產(chǎn)品線憑借對先進技術(shù)的最佳運用在2017年ARM TechCon大會上榮膺創(chuàng)新大獎。Zynq UltraScale+ RFSoC將直接RF數(shù)據(jù)轉(zhuǎn)換器與FPGA邏輯和多核多處理ARM?子系統(tǒng)完美集成在一起,從而能為無線、有線電視網(wǎng)絡(luò)接入、測試測量、雷達等高
          • 關(guān)鍵字: Xilinx  RFSoC  

          Xilinx上季度財報出爐,同比增長7%

          •   近日,賽靈思(Xilinx)公布2018財年第二季財報(編者注:即日歷年2017年7月~9月)結(jié)果,收入繼續(xù)保持增長態(tài)勢, 連續(xù)八個季度持續(xù)增長, 比上一年年同期增長7%!  很明顯,這個增長清楚地證明了賽靈思長期一貫的執(zhí)行力。賽靈思將持續(xù)受益于從6-7年前就開始的多元化多市場投資組合及領(lǐng)先競爭對手三個工藝節(jié)點的技術(shù)領(lǐng)先優(yōu)勢。  應(yīng)用方面,賽靈思目標應(yīng)用市場中,工業(yè)/航空航天和國防部門創(chuàng)下新的季度紀錄,銷售額為2.78億美元,比上年同期增長17%。廣播/消費者和汽車業(yè)務(wù)部門的銷售額
          • 關(guān)鍵字: Xilinx  16nm  

          Xilinx擁抱軟件,擁抱云服務(wù)

          •   不久前,Xilinx在中國舉辦了首屆賽靈思開發(fā)者大會(XDF, Xilinx Developer Forum)。在北京站,電子產(chǎn)品世界編輯獲悉,Xilinx開發(fā)者正從以硬件為主,轉(zhuǎn)移到增加軟件開發(fā)者及其他開發(fā)者,預(yù)計未來五年用戶數(shù)將增加5倍,即從目前的5萬人增加到25萬人,并且在云服務(wù)方面下足了功夫,提出了FaaS(FPGA即服務(wù))理念。  照片:Xilinx三位高管出席了XDF北京新聞發(fā)布會。從左至右:Xilinx戰(zhàn)略市場開發(fā)總監(jiān)Andy Walsh,IP及軟
          • 關(guān)鍵字: Xilinx  FPGA  

          基于DS89C450的Xilinx PROM在系統(tǒng)編程設(shè)計

          • 基于DS89C450的Xilinx PROM在系統(tǒng)編程設(shè)計-基于查找表技術(shù)的FPGA在應(yīng)用時需要外置一個非易失性存儲器來存儲配置數(shù)據(jù)。如何方便靈活地對一個系統(tǒng)中多片F(xiàn)PGA的配置數(shù)據(jù)進行升級是本文討論的問題
          • 關(guān)鍵字: DS89C450  Xilinx  

          聊一聊FPGA低功耗設(shè)計的那些事兒

          • 聊一聊FPGA低功耗設(shè)計的那些事兒-以下是筆者一些關(guān)于FPGA功耗估計和如何進行低功耗設(shè)計的知識。
          • 關(guān)鍵字: FPGA  Xilinx  

          透過設(shè)計實例揭秘FPGA電源的N個考慮事項

          • 透過設(shè)計實例揭秘FPGA電源的N個考慮事項-本文分析了針對FPGA的電源要求,提供了關(guān)于如何將其放在PCB上和放在什么位置的指導(dǎo),并通過一個設(shè)計示例讓讀者熟悉設(shè)計步驟,設(shè)計當中FPGA所在的系統(tǒng)由12 V總線供電,這是來自市電供電SMPS的主輸出。
          • 關(guān)鍵字: FPGA電源  PCB  Altera  Xilinx  FPGA  

          FPGA實戰(zhàn)開發(fā)技巧(12)

          • FPGA實戰(zhàn)開發(fā)技巧(12)-在大規(guī)模設(shè)計的調(diào)試應(yīng)該按照和設(shè)計理念相反的順序,從底層測試,主要依靠ChipScope Pro 工具。下面主要介紹ChipScope Pro、FPGA Editor 組件的使用方法。
          • 關(guān)鍵字: FPGA  Xilinx  

          FPGA開發(fā)要掌握的六大基礎(chǔ)知識(3)

          • FPGA開發(fā)要掌握的六大基礎(chǔ)知識(3)-Xilinx FPGA開發(fā)軟件為ISE.現(xiàn)在其版本更新比較快,大家現(xiàn)在常用的版本都在ISE12.1了。
          • 關(guān)鍵字: FPGA  賽靈思  Xilinx  

          system generator入門筆記

          • system generator入門筆記-System Generator是Xilinx公司進行數(shù)字信號處理開發(fā)的一種設(shè)計工具,它通過將Xilinx開發(fā)的一些模塊嵌入到Simulink的庫中,可以在Simulink中進行定點仿真,可是設(shè)置定點信號的類型,這樣就可以比較定點仿真與浮點仿真的區(qū)別。并且可以生成HDL文件,或者網(wǎng)表,可以再ISE中進行調(diào)用。
          • 關(guān)鍵字: Xilinx  賽靈思  Simulink  

          在FPGA開發(fā)中盡量避免全局復(fù)位的使用?(1)

          • 在FPGA開發(fā)中盡量避免全局復(fù)位的使用?(1)-最近幾天讀了Xilinx網(wǎng)站上一個很有意思的白皮書(white paper,wp272.pdf),名字叫《Get Smart About Reset:Think Local, Not Global》,在此分享一下心得,包括以前設(shè)計中很少注意到的一些細節(jié)。
          • 關(guān)鍵字: Xilinx  賽靈思  

          如何使用腳本對Xilinx FPGA編程

          • 如何使用腳本對Xilinx FPGA編程-最近在做一個GUI的項目,想試著用FPGA實現(xiàn)一個簡單的GUI。硬件基本模塊和整個硬件系統(tǒng)已經(jīng)完成設(shè)計,但是軟件程序上還處在調(diào)試階段,由于程序比較大,F(xiàn)PGA內(nèi)部的BRAM已經(jīng)完全不夠用了,只能將運行的程序放到DDR DRAM中
          • 關(guān)鍵字: GUI  FPGA  Xilinx  

          7 50T 入門級FPGA評估套件上手評測

          • 7 50T 入門級FPGA評估套件上手評測-FPGA即現(xiàn)場可編程門陣列,屬于可編程邏輯器件的一種。隨著工藝的進步和EDA設(shè)計工具的不斷發(fā)展,F(xiàn)PGA的門檻(學(xué)習成本和價格成本)也越來越低,目前已經(jīng)成為實現(xiàn)數(shù)字系統(tǒng)的主流平臺之一。
          • 關(guān)鍵字: FPGA  可編程邏輯  Xilinx  

          參考時鐘對SERDES性能的影響

          • 參考時鐘對SERDES性能的影響-我們知道,SERDES對參考時鐘有嚴格的相位噪聲性能要求。通常,SERDES供應(yīng)商會根據(jù)其SERDES采用的PLL以及CDR架構(gòu)特點,以及性能數(shù)據(jù),提出對參考時鐘的相位噪聲的具體要求。
          • 關(guān)鍵字: SERDES  參考時鐘  XILINX  
          共721條 4/49 |‹ « 2 3 4 5 6 7 8 9 10 11 » ›|

           xilinx介紹

          您好,目前還沒有人創(chuàng)建詞條 xilinx!
          歡迎您創(chuàng)建該詞條,闡述對 xilinx的理解,并與今后在此搜索 xilinx的朋友們分享。    創(chuàng)建詞條

          熱門主題

           Xilinx    樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();