<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> cpld-pci接口

          基于CPLD+MCU的新型光柵數(shù)顯系統(tǒng)設(shè)計(jì)

          • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡(luò)家園
          • 關(guān)鍵字: 光柵  正交脈沖  掉電數(shù)據(jù)存儲  CPLD  EPM240  STC89C516RD  

          基于MCU+CPLD的新型光柵數(shù)顯系統(tǒng)設(shè)計(jì)

          •   1 引言   光柵數(shù)顯系統(tǒng)主要用于普通機(jī)床,可直接顯示機(jī)床加工的長度值,有助于提高加工精度和效率。目前國內(nèi)市場上的光柵數(shù)顯系統(tǒng)大多采用國外集成電路實(shí)現(xiàn),研發(fā)成本高,且不便于操作人員使用。針對這種狀況,研發(fā)了基于MCU+CPLD的新型光柵數(shù)顯系統(tǒng)。該系統(tǒng)具有計(jì)數(shù)精度高、成本低、操作方便以及升級快等特點(diǎn),能夠處理高達(dá)5 MHz/s的正交脈沖,并在掉電時(shí)有效存儲當(dāng)前長度值,其數(shù)碼管可顯示關(guān)鍵的長度值,點(diǎn)陣式液晶屏還可顯示相關(guān)的提示信息。   2 系統(tǒng)工作原理   利用CPLD實(shí)現(xiàn)正交脈沖處理邏輯電路,
          • 關(guān)鍵字: MCU  CPLD  光柵數(shù)顯  正交脈沖  

          華禹公司在P1200便攜式手持機(jī)中選用MAX IIZ CPLD

          •   Altera宣布,深圳市華禹高科技有限公司在其新的P1200便攜式手持機(jī)中選用了MAX IIZ CPLD。之所以選用Altera MAX IIZ CPLD,是因?yàn)樗坏梢匝杆侔踩貙?shí)現(xiàn)新特性和功能,而且還具有最低的功耗和最小的電路板面積,該器件管理P1200便攜式手持機(jī)中的多個(gè)接口,包括射頻識別(RFID)讀卡器、紅外數(shù)據(jù)協(xié)會(IRDA)傳感器、藍(lán)牙接口以及LED控制端口等。該P(yáng)1200便攜式手持機(jī)已于5月1日正式在杭州公交自行車項(xiàng)目中使用,并將會在8月應(yīng)用于北京奧運(yùn)的身份識別,物流領(lǐng)域以及公交等小
          • 關(guān)鍵字: Altera  CPLD  華禹  手持機(jī)  

          用單片機(jī)和CPLD實(shí)現(xiàn)步進(jìn)電機(jī)的控制

          •   是一種將脈沖信號轉(zhuǎn)換成角位移的伺服執(zhí)行器件。其特點(diǎn)是結(jié)構(gòu)簡單、運(yùn)行可靠、控制方便。尤其是步距值不受電壓、溫度的變化的影響、誤差不會長期積累,這給實(shí)際的應(yīng)用帶來了很大的方便。它廣泛用于消費(fèi)類產(chǎn)品(打印機(jī)、照相機(jī))、工業(yè)控制(數(shù)控機(jī)床、工業(yè)機(jī)器人)、醫(yī)療器械等機(jī)電產(chǎn)品中。   通常的步進(jìn)電機(jī)控制方法是采用CPU(PC機(jī)、等)配合專用的步進(jìn)電機(jī)驅(qū)動(dòng)控制器來實(shí)現(xiàn),這存在成本較高、各個(gè)環(huán)節(jié)搭配不便(不同類的電機(jī)必須要相應(yīng)的驅(qū)動(dòng)控制器與之配對)等問題。   器件具有速度快、功耗低、保密性好、程序設(shè)計(jì)靈活、抗干
          • 關(guān)鍵字: 脈沖信號  CPU  CPLD  電機(jī)  I/O  

          基于I2C總線的大型開關(guān)矩陣設(shè)計(jì)與實(shí)現(xiàn)

          •   1 引言   自動(dòng)測試設(shè)備在軍事及工業(yè)領(lǐng)域的應(yīng)用越來越廣泛,然而在電路單元尤其是電路板測試中,由于被測單元種類多,被測通道數(shù)量大,傳統(tǒng)的開關(guān)矩陣體積大、切換速度慢、電氣性能差。已不能滿足現(xiàn)代測試儀器高速、便攜的要求。本文介紹了一種采用USB接口,利用I2C總線傳輸數(shù)據(jù),由CPLD控制多路復(fù)用器件的大型開關(guān)矩陣結(jié)構(gòu),具有較高的切換速度及較好的電氣性能,并滿足了小型化的要求。   2 系統(tǒng)結(jié)構(gòu)及功能   開關(guān)矩陣主要實(shí)現(xiàn)自動(dòng)測試設(shè)備與被測電路單元之間的信息交換,功能如下:   (1)將程控電源系統(tǒng)
          • 關(guān)鍵字: I2C  開關(guān)矩陣  CPLD  USB  自動(dòng)測試  

          低頻數(shù)字相位(頻率)測量的CPLD實(shí)現(xiàn)

          •   在電子測量技術(shù)中,測頻測相是最基本的測量之一。相位測量儀是電子領(lǐng)域的常用儀器,當(dāng)前測頻測相主要是運(yùn)用等精度測頻、PLL鎖相環(huán)測相的方法。研究發(fā)現(xiàn),等精度測頻法具有在整個(gè)測頻范圍內(nèi)保持恒定的高精度的特點(diǎn),但是該原理不能用于測量相位。PLL鎖相環(huán)測相可以實(shí)現(xiàn)等精度測相,但電路調(diào)試較復(fù)雜。因此,選擇直接測相法作為低頻測相儀的測試方法[1、2、3、4]。   設(shè)計(jì)的低頻測相儀,滿足以下的技術(shù)指標(biāo):a .頻率20-20KHz;b .輸入阻抗≥100KΩ;c.相位測量絕對誤差≤1度; d
          • 關(guān)鍵字: CPLD  電子測量  相位測量  單片機(jī)  EDA  

          基于CPLD的MIDI音樂播放器的設(shè)計(jì)

          • 摘要:本音樂播放器依據(jù)MIDI音樂基本原理,結(jié)合EDA技術(shù),采用ALTERA公司的可編程邏輯器件(CPLD)EPF10LC84-4作為控制核心而設(shè)計(jì)的。本文主要闡述了利用VHDL語言設(shè)計(jì)MIDI音樂發(fā)生器芯片,再配上必要的外圍電路,從而實(shí)現(xiàn)四首音樂選擇播放、并配有隨音樂節(jié)奏而閃爍變化的彩燈等功能的EDA應(yīng)用系統(tǒng)。 關(guān)鍵字:EDA、CPLD、音樂播放器、VHDL語言 0? 引言 大規(guī)??删幊踢壿嬈骷﨏PLD和FPGA是當(dāng)今應(yīng)用最廣泛的兩類可編程邏輯器件,電子設(shè)計(jì)工程師利用它可以在辦公室或?qū)?/li>
          • 關(guān)鍵字: EDA  CPLD  音樂播放器  VHDL語言  

          基于DSP的彩色TFT-LCD數(shù)字圖像顯示技術(shù)研究

          •   隨著計(jì)算機(jī)技術(shù)的飛速發(fā)展,嵌入式圖像系統(tǒng)廣泛應(yīng)用于辦公設(shè)備、制造和流程設(shè)計(jì)、醫(yī)療、監(jiān)控、衛(wèi)生設(shè)備、交通運(yùn)輸、通信、金融銀行系統(tǒng)和各種信息家電中。所謂嵌入式圖像系統(tǒng),指以圖像應(yīng)用為中心,以計(jì)算機(jī)技術(shù)為基礎(chǔ),軟件、硬件可裁減,對功能、可靠性、成本、體積、功耗等嚴(yán)格要求的專用計(jì)算機(jī)系統(tǒng)。嵌入式圖像系統(tǒng)對圖像顯示技術(shù)提出了各種嚴(yán)格要求,必須選擇合適的顯示器,設(shè)計(jì)出合理的顯示控制方法。   系統(tǒng)硬件設(shè)計(jì)   本系統(tǒng)要構(gòu)建一個(gè)嵌入式、高速、低功耗、低成本的圖像顯示硬件平臺,要求能真彩顯示靜態(tài)或動(dòng)態(tài)彩色圖像。為
          • 關(guān)鍵字: DSP  TFT-LCD  數(shù)字圖像  顯示技術(shù)  嵌入式  CPLD  

          用雙端口RAM實(shí)現(xiàn)與PCI總線接口的數(shù)據(jù)通訊

          •   采用雙端口RAM實(shí)現(xiàn)DSP與PCI總線芯片之間的數(shù)據(jù)交換接口電路。   提出了一種使用CPLD解決雙端口RAM地址譯碼和PCI接口芯片局部總線仲裁的的硬件設(shè)計(jì)方案,并給出了PCI總線接口芯片寄存器配置實(shí)例,介紹了軟件包WinDriver開發(fā)設(shè)備驅(qū)動(dòng)程序的具體過程。   隨著計(jì)算機(jī)技術(shù)的不斷發(fā)展,為滿足外設(shè)間以及外設(shè)與主機(jī)間的高速數(shù)據(jù)傳輸,Intel公司于1991年提出了PCI總線概念。PCI總線是一種能為主CPU及外設(shè)提供高性能數(shù)據(jù)通訊的總線,其局部總線在33MHz總線時(shí)鐘、32位數(shù)據(jù)通路時(shí),數(shù)據(jù)
          • 關(guān)鍵字: RAM  DSP  PCI總線  CPLD  數(shù)據(jù)通訊  

          凌華科技推出PCI接口16位高線性度模擬輸出卡

          •   亞洲最大的數(shù)據(jù)采集產(chǎn)品供貨商-凌華科技推出高線性度,16位高分辨率,每通道更新速度每秒高達(dá)1 MS的PCI接口模擬輸出卡PCI-6202,特別適用在動(dòng)態(tài)信號仿真,激光雕刻及伺服控制等需要高精度輸出的應(yīng)用。   凌華PCI-6202模擬輸出卡擁有高線性度輸出,其差動(dòng)非線性(DNL,Differential Non-Linearity)誤差小于1 LSB(Least Significant Bit,最低有效位),并支持16位高分辨率及每秒高達(dá)1MS硬件頻率的更新速度,能根據(jù)用戶預(yù)設(shè)的控制曲線,產(chǎn)生高精度
          • 關(guān)鍵字: 凌華科技  PCI接口  模擬輸出卡  

          用CPLD實(shí)現(xiàn)基于PC104總線的429接口板

          •   PC104總線系統(tǒng)是一種新型的計(jì)算機(jī)測控平臺,作為嵌入式PC的一種,在軟件與硬件上與標(biāo)準(zhǔn)的臺式PC(PC/AT)體系結(jié)構(gòu)完全兼容,它具有如下優(yōu)點(diǎn):體積小、十分緊湊,并采用模塊化結(jié)構(gòu),功耗低,總線易于擴(kuò)充,緊固堆疊方式安裝,適合于制作高密度、小體積、便攜式測試設(shè)備,因此在軍用航空設(shè)備上有著廣泛的應(yīng)用,但也正是PC104板的這種小尺寸結(jié)構(gòu)、板上可用空間少給設(shè)計(jì)帶來了一定的困難,所以本設(shè)計(jì)采用了復(fù)雜可編程器件CPLD,用CPLD完成了PC104總線與429總線通訊的主要電路,大大節(jié)省了硬件資源,本文著重介紹
          • 關(guān)鍵字: CPLD  接口板  PC104  總線  嵌入式  

          FPGA競爭好像在演戲(上)

          •   若要問:半導(dǎo)體業(yè)哪個(gè)領(lǐng)域最有趣?我認(rèn)為FPGA。作為記者,大家一提起FPGA公司就很興奮,太充滿活力了,有時(shí)過分得充滿戲劇性。   當(dāng)中國第一高樓——上海金茂大廈剛剛落成時(shí),A公司在上海成立辦事處,邀請記者從北京到上海觀摩,下榻金茂。一周后,X公司也宣布已經(jīng)成立上海辦事處,也盛情邀請記者去那里看看,也同樣入住金茂。 ????????????&nbs
          • 關(guān)鍵字: FPGA  半導(dǎo)體  ASIC  CPLD  

          簡易USB接口卡的設(shè)計(jì)和實(shí)現(xiàn)

          •   目前比較常用的方法是在PC機(jī)或工控機(jī)內(nèi)安裝ISA或PCI數(shù)據(jù)采集卡(如A/D卡及422,485卡).但這些數(shù)據(jù)采集卡存在安裝麻煩,受計(jì)算機(jī)插槽數(shù)量、地址、中斷資源的限制,可擴(kuò)展性差等缺點(diǎn)特別是在一些電磁干擾較強(qiáng)的工業(yè)現(xiàn)場。隨著USB總線的發(fā)展和應(yīng)用以及USB接口芯片出現(xiàn),現(xiàn)在USB接口卡應(yīng)該是一個(gè)即實(shí)用又方便的選擇了。這項(xiàng)設(shè)計(jì)實(shí)現(xiàn)的是一個(gè)動(dòng)態(tài)采集和存儲系統(tǒng)的計(jì)算機(jī)通信接口卡,基本上可分為CY7C68013 USB接口芯片、CPLD芯片擴(kuò)展控制部分、軟件的實(shí)現(xiàn)部分。   一、 整體結(jié)構(gòu)圖  
          • 關(guān)鍵字: USB  接口卡  CPLD  芯片  

          基于SPCE061A和CPLD的電動(dòng)自行車充電系統(tǒng)研制

          •   電動(dòng)車由于具有無廢氣污染、無噪音、輕便美觀等特點(diǎn),受到眾多使用者的青睞。但使用中也暴露出它的局限性,那就是蓄電池的容量決定了它的使用范圍,而且存在充電時(shí)間長的缺點(diǎn)。目前隨著電動(dòng)自行車的發(fā)展,急需解決的問題就是如何實(shí)現(xiàn)快速靈活的充電。   隨著電子技術(shù)、可編程邏輯器件(FPGA,CPLD)、EDA技術(shù)的飛速發(fā)展,基于硬件編程語言的自上而下(TOP-TO-DOWN)設(shè)計(jì)方法給數(shù)字系統(tǒng)的開發(fā)設(shè)計(jì)帶來了革命性變革,僅使用單片機(jī)來實(shí)現(xiàn)系統(tǒng)控制的傳統(tǒng)方法正在被越來越多的以MCU+FPGA/CPLD為核心的最新設(shè)
          • 關(guān)鍵字: CPLD  SPCE061A  FPGA  EDA  充電  電動(dòng)自行車  

          基于CPLD的USB下載電纜設(shè)計(jì)

          •   引 言   隨著片上系統(tǒng)(SoC,System on Chip)時(shí)代的到來,包括復(fù)雜可編程邏輯器件(CPLD,Complex ProgrammableLogic Devi(e)和現(xiàn)場可編程門陣列(FPGA,F(xiàn)ield Programmable Gate Array)的可編程邏輯器件(具有在系統(tǒng)可再編程的獨(dú)特優(yōu)點(diǎn)),應(yīng)用越來越廣泛。這給用于可編程邏輯器件編程的下載電纜提出了更高的要求。   本文研究基于IEEEll49.1標(biāo)準(zhǔn)的USB下載接口電路的設(shè)計(jì)及實(shí)現(xiàn)。針對Altera公司的FPGA器件Cy-
          • 關(guān)鍵字: CPLD  USB  FPGA  下載電纜  SoC  
          共788條 46/53 |‹ « 44 45 46 47 48 49 50 51 52 53 »
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();