<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> dp-cpld

          用于VHDL的DRAM控制器設(shè)計(jì)

          • 80C186XL16位嵌入式微處理器是Intel公司在嵌入式微處理器市場(chǎng)的上導(dǎo)產(chǎn)品之一,已廣泛應(yīng)用于電腦終端、程控交換和工控等領(lǐng)域。在該嵌入式微處理器片內(nèi),集成有DRAM RCU單元,即DRAM刷新控制單元。RCU單元可以自動(dòng)產(chǎn)
          • 關(guān)鍵字: 80C186XL    CPLD    DRAM控制器    VHDL  

          PLD將憑借高效低耗挑戰(zhàn)消費(fèi)電子領(lǐng)域

          • 可編程邏輯器件(PLD)的兩種主要類(lèi)型是現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)和復(fù)雜可編程邏輯器件(CPLD)。根據(jù)半導(dǎo)體行業(yè)協(xié)會(huì)提供的數(shù)據(jù),PLD現(xiàn)在是半導(dǎo)體行業(yè)中增長(zhǎng)最快的領(lǐng)域之一,高性能PLD現(xiàn)在已經(jīng)從采用最先進(jìn)的標(biāo)準(zhǔn)單元技術(shù)制
          • 關(guān)鍵字: PLD  FPGA  CPLD  

          東亞LTE設(shè)備需求強(qiáng)勁 FPGA喜迎4G商機(jī)

          • 東亞地區(qū)長(zhǎng)程演進(jìn)計(jì)畫(huà)(LTE)設(shè)備需求,驅(qū)動(dòng)現(xiàn)場(chǎng)可編程閘陣列(FPGA)業(yè)者營(yíng)收攀升。2014年中國(guó)大陸及臺(tái)灣陸續(xù)啟動(dòng)LTE商轉(zhuǎn),帶動(dòng)龐大的LTE設(shè)備購(gòu)置及基礎(chǔ)建設(shè)投資潮,深耕通訊領(lǐng)域有成的FPGA業(yè)者也趁著這波潮流搭上順風(fēng)
          • 關(guān)鍵字: 4G  LTE  FPGA  IP  嵌入式  PLD  CPLD  

          CPLD 實(shí)現(xiàn)DDS 信號(hào)源的設(shè)計(jì)

          • 中文摘要:利用CPLD 在高速數(shù)據(jù)處理方面的特點(diǎn)設(shè)計(jì)出以VHDL 硬件描述語(yǔ)言為設(shè)計(jì)輸入, 以AL TERA 公司的 EPM 7256 芯片為設(shè)計(jì)載體, 基于DDS 技術(shù)的任意波形信號(hào)發(fā)生器。該信號(hào)發(fā)生器能同時(shí)輸出兩路信號(hào), 輸出信號(hào)的頻
          • 關(guān)鍵字: CPLD  DDS 信號(hào)源  設(shè)計(jì)  

          基于CPLD的FPGA從并快速加載方案

          • 現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)作為專(zhuān)用集成電路(ASIC)領(lǐng)域的一種半定制電路,可以根據(jù)設(shè)計(jì)的需要靈活實(shí)現(xiàn)各種接口或者總線(xiàn)的輸出,在設(shè)備端的通信產(chǎn)品中已得到越來(lái)越廣泛的使用。FPGA 是基于靜態(tài)隨機(jī)存儲(chǔ)器(SRAM)結(jié)構(gòu)的,
          • 關(guān)鍵字: FPGA    CPLD    控制器    從并    加載  

          異步FIFO在DSP圖像采集系統(tǒng)中的應(yīng)用

          • 引言基于DSP的圖像采集與處理系統(tǒng)與傳統(tǒng)的PC端的系統(tǒng)相比,具有功耗低、攜帶方便、處理速度快的特點(diǎn),被廣泛使用在圖像采集與處理領(lǐng)域。DSP(Digital Signal Process or)芯片也稱(chēng)數(shù)字信號(hào)處理器,是TI公司推出的專(zhuān)
          • 關(guān)鍵字: 異步FIFO  TVP5150  DSP  中斷  CPLD  

          機(jī)載導(dǎo)彈一控四電動(dòng)舵機(jī)控制器研究與設(shè)計(jì)

          • 電動(dòng)舵機(jī)作為導(dǎo)彈飛行的執(zhí)行機(jī)構(gòu),其體積重量的減少有利于導(dǎo)彈性能的提升。為了減小體積重量和節(jié)約成本,電動(dòng)舵機(jī)采用三相無(wú)刷直流電機(jī)+滾珠絲杠直連式結(jié)構(gòu),采用一個(gè)控制器來(lái)控制四路舵機(jī)。介紹了控制器的硬件結(jié)構(gòu)方案和控制策略,控制器以DSP+CPLD為核心架構(gòu),采用位置、速度雙閉環(huán)PI控制,實(shí)現(xiàn)單一控制器同時(shí)控制四套電動(dòng)舵機(jī)相互獨(dú)立工作。實(shí)驗(yàn)結(jié)果表明,控制器可以正常工作,舵機(jī)帶寬可達(dá)到25Hz。
          • 關(guān)鍵字: 電動(dòng)舵機(jī)  DSP  CPLD  三相無(wú)刷直流電機(jī)  

          基于變頻器的一種協(xié)議轉(zhuǎn)換接口設(shè)計(jì)

          • 摘要:隨著工廠(chǎng)自動(dòng)化技術(shù)的發(fā)展,基于Profibus—DP現(xiàn)場(chǎng)總線(xiàn)與Modbus協(xié)議的通信技術(shù)在國(guó)內(nèi)外得到了廣泛的應(yīng)用。然而要實(shí)現(xiàn)兩者之間數(shù)據(jù)轉(zhuǎn)換卻較為困難,原因是實(shí)現(xiàn)兩者之間數(shù)據(jù)轉(zhuǎn)換的產(chǎn)品相對(duì)較少。本文針對(duì)采用
          • 關(guān)鍵字: Profibus―DP  Modbus  變頻器  通信接口  

          上海安路科技宣布國(guó)內(nèi)首款ELF系列非易失性CPLD產(chǎn)品開(kāi)始批量供貨

          •   上海安路信息科技有限公司宣布在其主力FPGA產(chǎn)品EAGLE系列之外,再添CPLD產(chǎn)品ELF系列。本次增添的器件包括ELF300和ELF650,目前公司開(kāi)始對(duì)這兩顆器件批量供貨。   ELF系列CPLD作為擁有軟、硬件完全知識(shí)產(chǎn)權(quán)的國(guó)內(nèi)首款非易失性無(wú)限重構(gòu)可編程邏輯器件,ELF的量產(chǎn)將打破國(guó)外巨頭在CPLD市場(chǎng)長(zhǎng)期壟斷的局面。CPLD被廣泛應(yīng)用于消費(fèi)類(lèi)、通信、工控、加密、汽車(chē)、醫(yī)療、軍工航天等等多個(gè)領(lǐng)域。   上海安路銷(xiāo)售副總裁盧鵬表示:“ELF相比同等規(guī)模國(guó)外器件,將提供給客戶(hù)更好
          • 關(guān)鍵字: 上海安路  CPLD  

          基于CAN總線(xiàn)的多通道實(shí)時(shí)模擬器設(shè)計(jì)

          •   CAN總線(xiàn)與一般的串行通信總線(xiàn)相比,它的數(shù)據(jù)通信具有可靠性高,實(shí)時(shí)性高,靈活性強(qiáng)等優(yōu)點(diǎn),不僅廣泛應(yīng)用于汽車(chē)行業(yè),而且擴(kuò)展到了機(jī)械工業(yè)、機(jī)器人、數(shù)控機(jī)床等諸多領(lǐng)域。尤其在大量數(shù)據(jù)通信處理中,高可靠性及實(shí)時(shí)響應(yīng)的場(chǎng)合,單通道CAN總線(xiàn)不能滿(mǎn)足實(shí)際通信的要求。為此,介紹一種基于多通道實(shí)時(shí)CAN模擬器的設(shè)計(jì)方案。   步驟/方法   1   CAN總線(xiàn)與一般的串行通信總線(xiàn)相比,它的數(shù)據(jù)通信具有可靠性高,實(shí)時(shí)性高,靈活性強(qiáng)等優(yōu)點(diǎn),不僅廣泛應(yīng)用于汽車(chē)行業(yè),而且擴(kuò)展到了機(jī)械工業(yè)、機(jī)器人、數(shù)控機(jī)床等諸多領(lǐng)域。尤
          • 關(guān)鍵字: CAN  CPLD  

          微軟計(jì)劃以FPGA提升數(shù)據(jù)中心服務(wù)器效能

          • 微軟計(jì)劃以FPGA提升數(shù)據(jù)中心服務(wù)器效能, 微軟(Microsoft)正探索將現(xiàn)場(chǎng)可編程閘陣列(FPGA)導(dǎo)入其資料中心伺服器的可能性。雖然目前這還只是一個(gè)初步的概念,但它可望緩減目前在網(wǎng)路效能所面臨的挑戰(zhàn)。微軟伺服器工程副總裁Kushagra Vaid在日前舉行的Linley
          • 關(guān)鍵字: 微軟  FPGA  IP  嵌入式  PLD  CPLD  SoC  

          一種通用基于CPLD實(shí)現(xiàn)的CAN接口連接設(shè)計(jì)

          • 一種通用基于CPLD實(shí)現(xiàn)的CAN接口連接設(shè)計(jì), 摘要:介紹一種基于CPLD實(shí)現(xiàn)的DSP或ARM處理器與CAN總線(xiàn)控制器SJA1000接口連接設(shè)計(jì)。通常DSP或ARM處理器都有獨(dú)立的地址和數(shù)據(jù)總線(xiàn),而SJA1000采用的是地址、數(shù)據(jù)分時(shí)復(fù)用總線(xiàn),它們不能直接連接。該設(shè)計(jì)主要是通過(guò)CP
          • 關(guān)鍵字: CPLD  CAN控制器  SJA1000T  ARM  DSP  微處理器  

          基于MAX 7 0 0 0A與CYUSB3014的USB3.0數(shù)據(jù)采集系統(tǒng)

          • 基于MAX 7 0 0 0A與CYUSB3014的USB3.0數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì), 0 引言目前高速數(shù)據(jù)采集技術(shù)越來(lái)越得到人們的重視,傳統(tǒng)的USB 2.0采集平臺(tái)理論帶寬只有480 Mbps,實(shí)際傳輸能力只有30 Mb/s左右,而USB 3.0采集平臺(tái)理論帶寬達(dá)到了5Gbps,能有效解決USB2.0采集系統(tǒng)的缺陷。目前一些
          • 關(guān)鍵字: USB3.0  CPLD  CYUSB3014  數(shù)據(jù)采集  

          羅姆推出FPGA用電源穩(wěn)壓器及模塊

          • 羅姆推出FPGA用電源穩(wěn)壓器及模塊, 近年來(lái),電子設(shè)備(應(yīng)用)的多樣化與高性能化以驚人的速度不斷發(fā)展??梢哉f(shuō),這種趨勢(shì)使各產(chǎn)品的開(kāi)發(fā)周期縮短,并給半導(dǎo)體技術(shù)帶來(lái)了巨大的發(fā)展空間。在這種背景下,被稱(chēng)為FPGA的LSI為電子設(shè)備的開(kāi)發(fā)作出了巨大貢獻(xiàn),
          • 關(guān)鍵字: FPGA  IP  嵌入式  PLD  CPLD  

          西門(mén)子Profibus-DP接頭的接線(xiàn)方法是什么

          • Profibus-DP電纜接法PROFIBUS 電纜很簡(jiǎn)單的,就只有兩根線(xiàn)在里面,一根紅的一根綠的,然后外面有屏蔽層。接線(xiàn)的時(shí)候,要把屏蔽層接好,不能和里面的
          • 關(guān)鍵字: 西門(mén)子  Profibus-DP  接線(xiàn)方法   
          共904條 9/61 |‹ « 7 8 9 10 11 12 13 14 15 16 » ›|
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();