<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> flash fpga

          Xilinx助力有線電視運(yùn)營(yíng)商打造面向未來的前端系統(tǒng)

          • 全球可編程平臺(tái)領(lǐng)導(dǎo)廠商賽靈思公司 (Xilinx, Inc. )在 2011 年 SCTE 有線電視技術(shù)博覽會(huì) (SCTE Cable-Tec Expo 2011)上演示了有線電視行業(yè)第一個(gè)用單個(gè) RF 端口支持多達(dá) 160 個(gè)正交幅度調(diào)制 (QAM )信道的方案,該方案是在基于賽靈思 28nm 7 系列 FPGA上實(shí)現(xiàn)的。該技術(shù)對(duì)多系統(tǒng)運(yùn)營(yíng)商 (MSO) 通過現(xiàn)有有線電視前端系統(tǒng)提供更豐富的三重播放業(yè)務(wù)至關(guān)重要,同時(shí)也展示了基于 FPGA 的前端設(shè)備可以提供關(guān)鍵的器件和信號(hào)密度,滿足競(jìng)爭(zhēng)激烈的數(shù)據(jù)和內(nèi)容
          • 關(guān)鍵字: Xilinx  FPGA  RF  

          Altera發(fā)布業(yè)界第一個(gè)面向FPGA的OpenCL計(jì)劃

          •   Altera公司(NASDAQ: ALTR)今天發(fā)布FPGA和SoC FPGA的開放計(jì)算語(yǔ)言(OpenCL?)標(biāo)準(zhǔn)開發(fā)計(jì)劃。OpenCL標(biāo)準(zhǔn)是基于C語(yǔ)言的開放標(biāo)準(zhǔn),適用于并行編程。Altera的OpenCL計(jì)劃結(jié)合了FPGA的并行能力以及OpenCL標(biāo)準(zhǔn),實(shí)現(xiàn)強(qiáng)大的系統(tǒng)加速功能。與使用Verilog或者VHDL等底層硬件描述語(yǔ)言(HDL)的傳統(tǒng)FPGA開發(fā)方法相比,這一混合系統(tǒng)(CPU + FPGA,使用OpenCL標(biāo)準(zhǔn))還具有明顯的產(chǎn)品及時(shí)面市優(yōu)勢(shì)。通過其OpenCL計(jì)劃,Altera與
          • 關(guān)鍵字: Altera  FPGA  

          基于51單片機(jī)和FPGA 的人機(jī)交互系統(tǒng)的設(shè)計(jì)

          • 摘要: 在儀器儀表電路中,人機(jī)交互界面是必不可少的環(huán)節(jié)。為了解決單純采用單片機(jī)制作的系統(tǒng)功耗高、速度慢、 ...
          • 關(guān)鍵字: 51單片機(jī)  FPGA  人機(jī)交互系統(tǒng)  

          Altera發(fā)布業(yè)界第一個(gè)面向FPGA的OpenCL計(jì)劃

          • Altera公司今天發(fā)布FPGA和SoC FPGA的開放計(jì)算語(yǔ)言(OpenCL?)標(biāo)準(zhǔn)開發(fā)計(jì)劃。OpenCL標(biāo)準(zhǔn)是基于C語(yǔ)言的開放標(biāo)準(zhǔn),適用于并行編程。Altera的OpenCL計(jì)劃結(jié)合了FPGA的并行能力以及OpenCL標(biāo)準(zhǔn),實(shí)現(xiàn)強(qiáng)大的系統(tǒng)加速功能。與使用Verilog或者VHDL等底層硬件描述語(yǔ)言(HDL)的傳統(tǒng)FPGA開發(fā)方法相比,這一混合系統(tǒng)(CPU + FPGA,使用OpenCL標(biāo)準(zhǔn))還具有明顯的產(chǎn)品及時(shí)面市優(yōu)勢(shì)。
          • 關(guān)鍵字: Altera  FPGA  

          賽靈思聯(lián)盟計(jì)劃推出高等設(shè)計(jì)服務(wù)成員計(jì)劃

          • 全球可編程平臺(tái)領(lǐng)導(dǎo)廠商賽靈思公司 (Xilinx, Inc. )今天宣布,賽靈思聯(lián)盟計(jì)劃 (Xilinx Alliance Program)推出高等設(shè)計(jì)服務(wù)成員計(jì)劃,作為其設(shè)計(jì)服務(wù)成員計(jì)劃的一個(gè)重要擴(kuò)展,幫助 FPGA 客戶加速新產(chǎn)品的開發(fā),并使其更輕松找到滿足其設(shè)計(jì)與開發(fā)需求的最佳合作伙伴。
          • 關(guān)鍵字: 賽靈思   FPGA   

          基于FPGA的LED體三維顯示設(shè)計(jì)方案

          • 引 言  眾所周知, 視覺是人類感知世界的最重要的方式, 而現(xiàn)實(shí)生活中的所有物質(zhì)形態(tài)都是以三維空間而客觀存在。三維顯示能真正地再現(xiàn)客觀世界的立體空間, 提供更符合人們觀察習(xí)慣的交流方式, 有助于人們?cè)诰C合
          • 關(guān)鍵字: FPGA  LED  體三維  方案    

          基于FPGA的WALLACE TREE乘法器設(shè)計(jì)

          • 摘要:為了使基于FPGA設(shè)計(jì)的信號(hào)處理系統(tǒng)具有更高運(yùn)行速度和具有更優(yōu)化的電路版圖布局布線,提出了一種適用于FPGA結(jié)構(gòu)的改進(jìn)型WALLACE TREE架構(gòu)乘法器。首先討論了基于標(biāo)準(zhǔn)單元3:2壓縮器的改進(jìn)型6:4壓縮器,根據(jù)FP
          • 關(guān)鍵字: WALLACE  FPGA  TREE  乘法器設(shè)計(jì)    

          一種新的基于FPGA的數(shù)據(jù)格式轉(zhuǎn)換方法

          • 摘要:針對(duì)目前多數(shù)的FPGA都支持浮點(diǎn)IP核,卻較少關(guān)注數(shù)據(jù)源獲取的問題,提出了一種數(shù)據(jù)格式轉(zhuǎn)換方法。使用VHDL語(yǔ)言,采用流水線處理方式將ASCII碼所表示的一定范圍內(nèi)的實(shí)數(shù)轉(zhuǎn)換為單精度浮點(diǎn)數(shù)。經(jīng)過ModelSim功能仿真
          • 關(guān)鍵字: FPGA  數(shù)據(jù)格式  方法  轉(zhuǎn)換    

          基于51單片機(jī)和FPGA的人機(jī)交互系統(tǒng)的設(shè)計(jì)

          • 摘要:在儀器儀表電路中,人機(jī)交互界面是必不可少的環(huán)節(jié)。為了解決單純采用單片機(jī)制作的系統(tǒng)功耗高、速度慢、電 ...
          • 關(guān)鍵字: 人機(jī)交互  C805lF  T6963C  FPGA  鍵盤掃描  

          LATTICE和Valens半導(dǎo)體公司發(fā)布適用于監(jiān)控?cái)z像機(jī)市場(chǎng)的新的參考設(shè)計(jì)

          • 萊迪思半導(dǎo)體公司(NASDAQ:LSCC)和Valens半導(dǎo)體今日發(fā)布一款新的全面的HDBaseT?攝像機(jī)參考設(shè)計(jì)解決方案。HDB...
          • 關(guān)鍵字: 監(jiān)控  HDBaseT  FPGA  攝像機(jī)  

          基于FPGA與ARM的遙測(cè)數(shù)據(jù)網(wǎng)絡(luò)化采集

          • 摘要:現(xiàn)有的遙測(cè)接收機(jī)為PCI接口,需安裝在工控機(jī)上使用,為實(shí)現(xiàn)設(shè)備小型化、便攜化,設(shè)計(jì)實(shí)現(xiàn)了小型網(wǎng)絡(luò)接口遙測(cè)解調(diào)模塊,可配合帶有網(wǎng)口的計(jì)算機(jī)使用。采用FPGA進(jìn)行遙測(cè)數(shù)據(jù)的幀同步與IRIG—B時(shí)碼解調(diào),將接
          • 關(guān)鍵字: FPGA  ARM  遙測(cè)數(shù)據(jù)  網(wǎng)絡(luò)    

          Xilinx FPGA的Fast Startup

          • 在眾多當(dāng)代應(yīng)用中,嵌入式系統(tǒng)必須滿足極其苛刻的時(shí)序要求。其中之一就是啟動(dòng)時(shí)間——即上電后電子系統(tǒng)進(jìn)入可操作狀態(tài)所需要的時(shí)間。PCI Express產(chǎn)品或汽車應(yīng)用中基于CAN的電子控制單元(ECU)就是具有嚴(yán)格時(shí)序要求的電子系統(tǒng)的應(yīng)用實(shí)例。
          • 關(guān)鍵字: 賽靈思  Xilinx  FPGA  

          基于FPGA的卷積碼編譯碼器

          • 摘要:基于卷積碼的編譯碼原理,使用VHDL語(yǔ)言和FPGA芯片設(shè)計(jì)并實(shí)現(xiàn)了(2,1,3)卷積碼編譯碼器。其中譯碼器設(shè)計(jì)采用“截尾”的Vite-rbi譯碼算法,在支路量度計(jì)算、路徑量度和譯碼路徑的更新與存儲(chǔ)以及判決與
          • 關(guān)鍵字: FPGA  卷積碼  編譯碼器    

          基于FPGA的可鍵盤控制計(jì)數(shù)電路的設(shè)計(jì)與實(shí)現(xiàn)

          • 摘要:介紹一種基于FPGA(Field Programmable Gate Array)現(xiàn)場(chǎng)可編程門陣列的可鍵盤控制的計(jì)數(shù),顯示電路的實(shí)現(xiàn)方法。應(yīng)用VHDL語(yǔ)言(高速集成電路硬件描述語(yǔ)言)完成了3x4矩陣開關(guān)的掃描電路,可預(yù)置數(shù)的BCD碼計(jì)數(shù)電路及
          • 關(guān)鍵字: FPGA  鍵盤控制  計(jì)數(shù)電路    

          使用賽靈思FPGA實(shí)現(xiàn)位與周期準(zhǔn)確的浮點(diǎn)DSP算法

          共6827條 269/456 |‹ « 267 268 269 270 271 272 273 274 275 276 » ›|

          flash fpga介紹

          您好,目前還沒有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();