<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> flash fpga

          基于DSP和FPGA的衛(wèi)星測(cè)控多波束系統(tǒng)設(shè)計(jì)

          • 基于DSP和FPGA的衛(wèi)星測(cè)控多波束系統(tǒng)設(shè)計(jì),一、引言
              
            衛(wèi)星測(cè)控多波束系統(tǒng)主要針對(duì)衛(wèi)星信號(hào)實(shí)施測(cè)控,它包括兩個(gè)方面:信號(hào)波達(dá)方向(DOA)的估計(jì)和數(shù)字波束合成。波達(dá)方向的估計(jì)是對(duì)空間信號(hào)的方向分布進(jìn)行超分辨估計(jì),提取空間源信號(hào)的參數(shù)如方位角、仰
          • 關(guān)鍵字: 系統(tǒng)  設(shè)計(jì)  衛(wèi)星  FPGA  DSP  基于  

          PAL一體化攝像機(jī)設(shè)計(jì)

          • 摘要:基于ICX229AK CCD芯片組設(shè)計(jì)了具有VGA接口的一體化攝像機(jī)。采用DSP+FPGA+ASIC的構(gòu)架,完成了視頻信號(hào)的采集和多格式顯示。在FPGA中實(shí)現(xiàn)了自動(dòng)聚 焦、自動(dòng)光圈等功能,并擴(kuò)展了鼠標(biāo)驅(qū)動(dòng)及劃線、OSD顯示等實(shí)用功
          • 關(guān)鍵字: DSP  FPGA  視頻  采集  CCD  傳感器  A/D  

          基于單片機(jī)和FPGA的人機(jī)交互系統(tǒng)的設(shè)計(jì)

          • 摘要:在儀器儀表電路中,人機(jī)交互界面是必不可少的環(huán)節(jié)。為了解決單純采用單片機(jī)制作的系統(tǒng)功耗高、速度慢、電路結(jié)構(gòu)繁瑣的問(wèn)題,同時(shí)為了 發(fā)揮出單片機(jī)的靈活性和FPGA的高速性,系統(tǒng)采用C805lF020單片機(jī)和CycloneⅡ
          • 關(guān)鍵字: FPGA  單片機(jī)  人機(jī)交互系統(tǒng)    

          AES算法中S-box和列混合單元的優(yōu)化及FPGA實(shí)現(xiàn)

          • 美國(guó)國(guó)家標(biāo)準(zhǔn)與技術(shù)局(NationalInstituteofStandardandTechnology,NIST)于1997年1月提出發(fā)展AES(Ad...
          • 關(guān)鍵字: FPGA  AES算法  S-box  

          基于FPGA的高速卷積的硬件設(shè)計(jì)實(shí)現(xiàn)

          • 基于FPGA的高速卷積的硬件設(shè)計(jì)實(shí)現(xiàn), 在數(shù)字信號(hào)處理領(lǐng)域,離散時(shí)間系統(tǒng)的輸出響應(yīng),可以直接由輸入信號(hào)與系統(tǒng)單位沖激響應(yīng)的離散卷積得到。離散卷積在電子通信領(lǐng)域應(yīng)用廣泛,是工程應(yīng)用的基礎(chǔ)。如果直接在時(shí)域進(jìn)行卷積,卷積過(guò)程中所必須的大量乘法和
          • 關(guān)鍵字: 設(shè)計(jì)  實(shí)現(xiàn)  硬件  高速  FPGA  基于  

          一款基于FPGA的RFID閱讀器設(shè)計(jì)

          • 針對(duì)現(xiàn)有的 RFID 閱讀器具有體積大和不容易升級(jí)的缺點(diǎn),依據(jù) FPGA具有開(kāi)發(fā)簡(jiǎn)單,靜態(tài)可重復(fù)編程和動(dòng)態(tài)在系統(tǒng)編程的特點(diǎn),研究了基于 FPGA 的 RFID 閱讀器,該種閱讀器具有結(jié)構(gòu)靈活,體積小,升級(jí)容易和方便實(shí)現(xiàn)不同的外設(shè)接口等優(yōu)點(diǎn),閱讀器以 FGPA 芯片為核心,實(shí)現(xiàn)了 RFID 閱讀器的各種設(shè)備接口,采用串口 中斷服務(wù)程序接收標(biāo)簽的數(shù)據(jù)信息,LCD 顯示標(biāo)簽的數(shù)據(jù)信息。在 FPGA 集成開(kāi)發(fā)環(huán)境中編譯,調(diào)試和綜合, 使用專用下載線將程序下載到 FPGA 芯片中實(shí)現(xiàn)其功能。實(shí)驗(yàn)結(jié)果表明:FPGA
          • 關(guān)鍵字: FPGA  RFID  閱讀器    

          基于FPGA和TMS320DM642的CCD圖像采集和處理系統(tǒng)硬

          • 本文面向?qū)崟r(shí)圖像采集和處理,采用模塊化設(shè)計(jì)思想,以TMS320DM642、SAA7115、OSD FPGA等實(shí)現(xiàn)了視頻圖像采集和處理系統(tǒng)的硬件電路,該系統(tǒng)電路簡(jiǎn)單、結(jié)構(gòu)緊湊、調(diào)節(jié)靈活、可靠性高、實(shí)時(shí)性強(qiáng)的特點(diǎn),通過(guò)驗(yàn)證,滿足設(shè)計(jì)的應(yīng)用要求,可為今后視頻圖像采集和處理的進(jìn)一步研發(fā)提供參考。
          • 關(guān)鍵字: FPGA  320  642  CCD    

          基于FPGA的人工神經(jīng)網(wǎng)絡(luò)系統(tǒng)的實(shí)現(xiàn)方法

          • 摘要:為了改變?nèi)斯ど窠?jīng)網(wǎng)絡(luò)的研究?jī)H僅局限于算法,只是在通用的串行或并行計(jì)算機(jī)上模擬實(shí)現(xiàn)的現(xiàn)狀,針對(duì)函數(shù)逼近問(wèn)題,將BP神經(jīng)網(wǎng)絡(luò)的結(jié)構(gòu)分為3個(gè)模塊,采用VHDL語(yǔ)言完成對(duì)各個(gè)模塊的硬件描述,并使用Altera公司的Q
          • 關(guān)鍵字: FPGA  人工神經(jīng)  網(wǎng)絡(luò)系統(tǒng)  實(shí)現(xiàn)方法    

          基于FPGA的自適應(yīng)鎖相環(huán)設(shè)計(jì)

          • 摘要:利用鎖相環(huán)進(jìn)行載波跟蹤是獲取本地載波的一種重要方法,針對(duì)鎖相環(huán)的噪聲性能和跟蹤速度不能同時(shí)達(dá)到最優(yōu)的限制,在鎖相環(huán)PLL中引入自適應(yīng)模塊,根據(jù)環(huán)路所處的環(huán)境自適應(yīng)對(duì)PLL環(huán)路參數(shù)做出調(diào)整。設(shè)計(jì)中利用仿
          • 關(guān)鍵字: FPGA  鎖相環(huán)    

          直接數(shù)字頻率合成DDS原理及基于FPGA的實(shí)現(xiàn)

          • 直接數(shù)字頻率合成技術(shù)(DirectDigitalSynthesis,DDS)是一種從相位概念出發(fā)直接合成所需要的波形的新的...
          • 關(guān)鍵字: DDS  FPGA  數(shù)字通信系統(tǒng)  

          用CPLD實(shí)現(xiàn)嵌入式平臺(tái)上的實(shí)時(shí)圖像增強(qiáng)

          • 用CPLD實(shí)現(xiàn)嵌入式平臺(tái)上的實(shí)時(shí)圖像增強(qiáng), 提出了在嵌入式平臺(tái)上用CPLD實(shí)現(xiàn)實(shí)時(shí)圖像增強(qiáng)算法的解決方案,并加以實(shí)現(xiàn)#65377;重點(diǎn)討論了經(jīng)過(guò)改進(jìn)的圖像增強(qiáng)算法以及使用CPLD實(shí)現(xiàn)的具體方法,介紹了所采用的嵌入式平臺(tái)的總體結(jié)構(gòu)#65377;

            通常,在擁有DSP或
          • 關(guān)鍵字: DSP  CPLD  FPGA  

          基于單片機(jī)和FPGA的位移測(cè)量裝置的設(shè)計(jì)

          • 摘要:基于電感式傳感器測(cè)量磁芯位移的原理,以單片機(jī)和FPGA為控制中心,由DDS產(chǎn)生的正弦信號(hào)經(jīng)差分放大,并經(jīng)過(guò)差動(dòng)變壓器的差分耦合,對(duì)兩路輸出信號(hào)放大整流后,采集數(shù)據(jù),對(duì)所得的數(shù)據(jù)進(jìn)行處理,實(shí)現(xiàn)了磁芯位
          • 關(guān)鍵字: 傳感器  LCD  單片機(jī)  FPGA  

          實(shí)時(shí)視頻數(shù)據(jù)采集的FPGA實(shí)現(xiàn)

          • 摘 要: 介紹一種在工礦監(jiān)視系統(tǒng)中采用FPGA實(shí)現(xiàn)視頻數(shù)據(jù)實(shí)時(shí)采集和顯示的設(shè)計(jì)方案。系統(tǒng)中采用FPGA和視頻解碼器實(shí)現(xiàn)了高速連續(xù)的視頻數(shù)據(jù)采集與處理。處理后的視頻信號(hào)通過(guò)VGA格式轉(zhuǎn)換,可以在現(xiàn)場(chǎng)VGA顯示器
          • 關(guān)鍵字: FPGA  實(shí)時(shí)視頻  數(shù)據(jù)采集    

          一種多光譜可見(jiàn)光遙感圖像壓縮系統(tǒng)設(shè)計(jì)

          • 摘要:為了實(shí)現(xiàn)多光譜可見(jiàn)光遙感圖像高質(zhì)量壓縮的要求,提出以JPEG2000壓縮標(biāo)準(zhǔn)為理論,將FPGA與專用壓縮芯片...
          • 關(guān)鍵字: 遙感圖像  JPEG2000  ADV212  FPGA  
          共6827條 312/456 |‹ « 310 311 312 313 314 315 316 317 318 319 » ›|

          flash fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();