<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> flash fpga

          高速數(shù)字隔離型串行ADC及其工程應(yīng)用

          •   1.引言    目前,逆變器在很多領(lǐng)域有著越來(lái)越廣泛地應(yīng)用。對(duì)逆變器的研究具有十分重要的意義和廣闊的工程應(yīng)用前景。常見(jiàn)逆變技術(shù)的控制方法大致分為開(kāi)環(huán)控制的載波調(diào)制方法和閉環(huán)控制的跟蹤控制方法。跟蹤控制方法屬于閉環(huán)控制,閉環(huán)反饋中的檢測(cè)環(huán)節(jié)需要與高壓主電路相互隔離,避免高壓側(cè)電磁噪聲對(duì)控制電路的竄擾。高性能的跟蹤型逆變器對(duì)反饋量的實(shí)時(shí)性要求很高,因此要求反饋環(huán)節(jié)具有高速隔離傳輸模擬信號(hào)的能力。   目前,最常用的隔離技術(shù)可以分為線性隔離和數(shù)字隔離。線性隔離器存在溫度漂移、線性度差,魯棒
          • 關(guān)鍵字: 逆變器  隔離  FPGA  SPWM  ADC  

          NI LabVIEW軟件平臺(tái)用智能多核加速了設(shè)計(jì)與測(cè)試

          •   NI 隆重發(fā)布了可應(yīng)用于控制、測(cè)試及嵌入式系統(tǒng)開(kāi)發(fā)的圖形化系統(tǒng)設(shè)計(jì)平臺(tái)的最新版本 -- LabVIEW 8.6。得益于 LabVIEW 軟件平臺(tái)天生并行的圖形化編程方式, LabVIEW 8.6版本提供了全新工具幫助工程師和科學(xué)家們從多核處理器、現(xiàn)場(chǎng)可編程門(mén)陣列 (FPGAs) 及無(wú)線通信等商業(yè)技術(shù)中獲益。   為了提升性能, LabVIEW 8.6包含了超過(guò)1,200個(gè)重新優(yōu)化的高級(jí)分析函數(shù),在多核系統(tǒng)的控制測(cè)試應(yīng)用中提供更快速、更強(qiáng)大的數(shù)學(xué)及信號(hào)處理功能。視覺(jué)應(yīng)用同樣能從多核系統(tǒng)中獲益,NI 視
          • 關(guān)鍵字: NI  LabVIEW  FPGA  多核處理器  

          采用EEPROM對(duì)大容量FPGA芯片數(shù)據(jù)實(shí)現(xiàn)串行加載

          •   自大規(guī)?,F(xiàn)場(chǎng)可編程邏輯器件問(wèn)世以來(lái),先后出現(xiàn)了兩類(lèi)器件,一類(lèi)是基于SRAM體系結(jié)構(gòu)的FPGA系列,如XILINX公司的4000系列和最新的Virtex系列;另一類(lèi)是基于faxtFLASH技術(shù)的CPLD器件,如XILINX公司的9500系列和Lattice公司的ispLSxx系列芯片。FPGA具有容量大、設(shè)計(jì)資源豐富、片內(nèi)ROM及RAM設(shè)計(jì)靈活等特點(diǎn)1,但是它們需要在每次上電時(shí)進(jìn)行數(shù)據(jù)加載。目前實(shí)現(xiàn)加載的方法有以下三種:①采用PROM并行加載;②采用專(zhuān)用SROM串行加載;③采用單片機(jī)控制實(shí)現(xiàn)加載。  
          • 關(guān)鍵字: FPGA  XILINX  CPLD  數(shù)據(jù)加載  EEPROM  

          基于USB的ARINC429總線接口模塊設(shè)計(jì)

          •   引言    ARINC429總線由美國(guó)航天無(wú)線電設(shè)備公司所資助,是廣泛應(yīng)用于當(dāng)前航空電子設(shè)備中的一種數(shù)據(jù)總線傳輸標(biāo)準(zhǔn)。與傳統(tǒng)的航空電子設(shè)備間的模擬傳輸相比,ARINC429總線具有抗干擾能力強(qiáng)、傳輸精度高、傳輸線路少以及成本低等優(yōu)點(diǎn)。ARINC數(shù)據(jù)總線協(xié)議規(guī)定一個(gè)數(shù)據(jù)由32位組成,采用雙極性歸零碼,以12.5Kb/s或100Kb/s碼速率傳輸。本設(shè)計(jì)利用USB即插即用、FPGA可靈活配置等特點(diǎn),設(shè)計(jì)了基于USB總線的ARINC429總線接口模塊。   接口模塊總體設(shè)計(jì)結(jié)構(gòu)   接口
          • 關(guān)鍵字: 接口  FPGA  USB  總線  

          基于AD9957的USB側(cè)音測(cè)距信號(hào)發(fā)生器設(shè)計(jì)

          •   0 引言   隨著我國(guó)航天技術(shù)的不斷進(jìn)步,深空測(cè)距技術(shù)受到越來(lái)越多的關(guān)注。在深空測(cè)距系統(tǒng)中,中頻信號(hào)發(fā)生器對(duì)系統(tǒng)性能有著重要的意義。在USB(統(tǒng)一S頻段)系統(tǒng)中,原有的模擬電路實(shí)現(xiàn)的發(fā)射模塊存在性能不完善、輸入動(dòng)態(tài)范圍小、可控性能差、不能適應(yīng)中心頻率大范圍變化、體積大等問(wèn)題,為了解決上述問(wèn)題,可在一個(gè)標(biāo)準(zhǔn)化通用數(shù)字調(diào)制信號(hào)發(fā)生器的平臺(tái)上,通過(guò)外圍的控制電路,實(shí)現(xiàn)對(duì)載波中心頻率、輸出功率、調(diào)相指數(shù)、測(cè)距音通/斷控制等參數(shù)的改變。   以軟件無(wú)線電思想為核心,基于PLD(可編程邏輯器件)的通用調(diào)制信號(hào)
          • 關(guān)鍵字: 測(cè)距  PLD  USB  D/A  FPGA  

          基于FPGA的自然對(duì)數(shù)變換器的設(shè)計(jì)與實(shí)現(xiàn)

          • 本文利用CORD IC算法在FPGA上實(shí)現(xiàn)了自然對(duì)數(shù)運(yùn)算器。實(shí)驗(yàn)結(jié)果表明該對(duì)數(shù)運(yùn)算器的輸出誤差為10-4數(shù)量級(jí),最高頻率可達(dá)到80MHz。該運(yùn)算器適用于高速大數(shù)據(jù)量的數(shù)據(jù)處理。
          • 關(guān)鍵字: FPGA  對(duì)數(shù)運(yùn)算  CORD IC算法  對(duì)數(shù)變換器  200808  

          IC業(yè)在拐點(diǎn)生存

          • 分析了IC業(yè)的眾多特點(diǎn),例如從90nm向65nm、45nm、32nm、22nm等拐點(diǎn)演進(jìn)的困難,以及ESL、DFM拐點(diǎn),制造是設(shè)計(jì)的拐點(diǎn),F(xiàn)PGA與ASIC之間的拐點(diǎn)等熱門(mén)問(wèn)題。
          • 關(guān)鍵字: EDA  65nm  45nm  22nm  光刻  處理器  FPGA  ASIC  200808  

          基于FPGA和AD1836的I2S接口設(shè)計(jì)

          • 本文以FPGA為控制單元,完成了AD1836中D/A部分的I2S接口設(shè)計(jì),它在數(shù)字音頻系統(tǒng)的設(shè)計(jì)中有一定的參考和實(shí)用價(jià)值。該設(shè)計(jì)已經(jīng)成功運(yùn)用在某話路特性綜合測(cè)試系統(tǒng)中,性能良好。
          • 關(guān)鍵字: FPGA  1836  I2S  AD    

          Altera FPGA開(kāi)發(fā)板為XLoom提供誤碼率測(cè)試環(huán)境

          •   進(jìn)一步展示其FPGA靈活性和通用性,Altera公司宣布,XLoom通信公司采用Stratix® II GX FPGA信號(hào)完整性開(kāi)發(fā)套件來(lái)提供獨(dú)特的誤碼率(BER)測(cè)試環(huán)境。和傳統(tǒng)的BER測(cè)試設(shè)備相比,Altera基于FPGA的開(kāi)發(fā)板支持XLoom以更高的性?xún)r(jià)比來(lái)測(cè)試芯片級(jí)光電互聯(lián)模塊。這一獨(dú)特的測(cè)試環(huán)境更貼近實(shí)際的客戶(hù)狀態(tài),同時(shí)進(jìn)一步節(jié)省了空間,降低了功耗。   傳統(tǒng)的BER測(cè)試儀成本高達(dá)100,000美元,而Stratix II GX FPGA信號(hào)完整性開(kāi)發(fā)套件在這方面的成本節(jié)省了90%
          • 關(guān)鍵字: Altera  FPGA  開(kāi)發(fā)套件  XLoom  

          基于FPGA的空間存儲(chǔ)器的糾錯(cuò)系統(tǒng)

          •   1、引言   阿爾法磁譜儀(Alpha Magnetic Spectrometer,AMS)實(shí)驗(yàn)室是丁肇中博士領(lǐng)導(dǎo)的由美、俄、德、法、中等16個(gè)國(guó)家和地區(qū)共300多名科學(xué)家參加的大型國(guó)際合作項(xiàng)目。它是國(guó)際空間站上唯一大型物理實(shí)驗(yàn),是人類(lèi)第一次在太空中精密地測(cè)量高能量帶電原子核粒子的實(shí)驗(yàn)。其目的是為尋找反物質(zhì)所組成的宇宙和暗物質(zhì)的來(lái)源以及測(cè)量宇宙線的來(lái)源。   但是對(duì)于AMS實(shí)驗(yàn)的空間電子系統(tǒng),同樣會(huì)受到高能粒子的襲擊,導(dǎo)致存儲(chǔ)器的內(nèi)容發(fā)生變化,改寫(xiě)半導(dǎo)體存儲(chǔ)器件的邏輯狀態(tài),導(dǎo)致存儲(chǔ)單元在邏輯&ls
          • 關(guān)鍵字: FPGA  存儲(chǔ)器  AMS  編碼  譯碼  

          Actel推出Libero集成開(kāi)發(fā)環(huán)境 8.4

          •   Actel公司宣布其Libero® 集成開(kāi)發(fā)環(huán)境 (IDE) 增添全新的功耗優(yōu)化和增強(qiáng)的設(shè)計(jì)創(chuàng)建功能。全新的Libero IDE 8.4針對(duì)基于 Flash的IGLOO®、IGLOO PLUS和 ProASIC®3L現(xiàn)場(chǎng)可編程門(mén)陣列 (FPGA),提供由1.14V至 1.575V的FPGA內(nèi)核工作電壓范圍,為設(shè)計(jì)人員提供額外的內(nèi)核電壓選擇,以實(shí)現(xiàn)更低的功耗。新版本Libero IDE改進(jìn)了SmartPower功耗分析工具,便于比較同一設(shè)計(jì)的多種設(shè)計(jì)實(shí)現(xiàn)和器件不同工作條件下的狀況
          • 關(guān)鍵字: Actel  IDE  Libero  FPGA  集成開(kāi)發(fā)環(huán)境  

          Altera在40nm:抖動(dòng)、信號(hào)完整性、功耗和工藝達(dá)到最佳的收發(fā)器

          •   1. 引言   在摩爾定律的推動(dòng)下,半導(dǎo)體行業(yè)技術(shù)發(fā)展非常迅速,集成電路晶體管數(shù)量每?jī)赡攴叮瑢?duì)器件或者系統(tǒng)之間的通信鏈路數(shù)據(jù)速率要求越來(lái)越高。而工藝節(jié)點(diǎn)的減小又促進(jìn)了摩爾定律。減小體積可以在單位邏輯中容納更多的功能,提高工作速率、邏輯密度和集成度,同時(shí)降低了。通常采用高級(jí)設(shè)計(jì)方法和工藝技術(shù)來(lái)提高數(shù)據(jù)速率,支持固網(wǎng)和無(wú)線通信、計(jì)算機(jī)、存儲(chǔ)、軍事應(yīng)用以及廣播電子系統(tǒng)發(fā)送接收大量數(shù)據(jù),以滿足不斷增長(zhǎng)的數(shù)據(jù)傳輸和帶寬要求。   微處理器和FPGA等前沿產(chǎn)品采用了65-nm工藝技術(shù)。這些產(chǎn)品的后續(xù)型號(hào)將采
          • 關(guān)鍵字: 半導(dǎo)體  FPGA  微處理器  I/O  

          微控制器發(fā)展、過(guò)去和將來(lái)

          •   對(duì)于選擇微控制器進(jìn)行設(shè)計(jì)的系統(tǒng)設(shè)計(jì)師來(lái)說(shuō),可獲得的大量的不同型號(hào)的MCU會(huì)讓選型工作變得復(fù)雜。SiliconLabs已經(jīng)發(fā)布了工作電壓低至0.9V的一款8位MCU,德州儀器有許多款針對(duì)16位MSP430的低功耗應(yīng)用,英飛凌和飛思卡爾有針對(duì)汽車(chē)應(yīng)用的多款MCU方案,而Atmel的AVR單片機(jī)和Microchip的PIC系列單片機(jī)一直在推陳出新,新的32位ARM核Cortex-M3處理器已經(jīng)發(fā)布,古老的8位8051核還是在不同微控制器中占領(lǐng)主流地位,而市場(chǎng)老大瑞薩可以針對(duì)多種應(yīng)用領(lǐng)域提供方案。  
          • 關(guān)鍵字: 微控制器  MCU  低功耗  SoC  flash  

          ARM7與FPGA在工業(yè)控制的結(jié)合

          •   工業(yè)控制中往往需要完成多通道故障檢測(cè)及多通道命令控制(這種多任務(wù)設(shè)置非常普遍),單獨(dú)的CPU芯片由于其外部控制接口數(shù)量有限而難以直接完成多路檢控任務(wù),故利用ARM芯片與FPGA相結(jié)合來(lái)擴(kuò)展檢控通道是一個(gè)非常好的選擇。這里介紹用Atmel公司ARM7處理器(AT91FR40162)和ALTERA公司的低成本FPGA芯片(cyclone2)結(jié)合使用完成多通道檢控任務(wù)的一種實(shí)現(xiàn)方法。   各部分功能簡(jiǎn)介   圖1為此系統(tǒng)的結(jié)構(gòu)連接框圖。如圖所示,ARM芯片與FPGA芯片之間通過(guò)數(shù)據(jù)總線、地址總線及讀寫(xiě)控
          • 關(guān)鍵字: 工業(yè)控制  ARM  FPGA  處理器  

          單片機(jī)的FLASH引導(dǎo)裝載系統(tǒng)設(shè)計(jì)

          •   前言   DSP系統(tǒng)的引導(dǎo)裝載是指在系統(tǒng)加電時(shí),由DSP將一段存儲(chǔ)在外部非易失性存儲(chǔ)器中的代碼移植到內(nèi)部高速存儲(chǔ)器單元并執(zhí)行的過(guò)程。這種方式即可利用外部存儲(chǔ)單元擴(kuò)展DSP本身有限的ROM資源,又能充分發(fā)揮DSP內(nèi)部資源的高速效能。因此,引導(dǎo)裝載系統(tǒng)的性能直接關(guān)系到整個(gè)DSP系統(tǒng)的可靠性和處理速度,是DSP系統(tǒng)設(shè)計(jì)中必不可少的重要環(huán)節(jié)。在裝載系統(tǒng)中,外部非易失性存儲(chǔ)器和DSP的性能尤為重要。FLASH是一種高密度、非易失性的電可擦寫(xiě)存儲(chǔ)器,而且單位存儲(chǔ)比特的價(jià)格比傳統(tǒng)EPROM要低。為此,本文介紹了T
          • 關(guān)鍵字: DSP  存儲(chǔ)  接口  FLASH  
          共6827條 400/456 |‹ « 398 399 400 401 402 403 404 405 406 407 » ›|

          flash fpga介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條flash fpga!
          歡迎您創(chuàng)建該詞條,闡述對(duì)flash fpga的理解,并與今后在此搜索flash fpga的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();