<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga+arm

          FPGA在智能壓力傳感器系統(tǒng)中的應(yīng)用設(shè)計

          • 0引言傳統(tǒng)氣體壓力測量儀器的傳感器部分與數(shù)據(jù)采集系統(tǒng)是分離的,抗干擾的能力較差,并且通常被測對...
          • 關(guān)鍵字: FPGA  智能壓力傳感器系統(tǒng)  

          基于FPGA的智能溫度采集控制器

          • 摘要:溫度的監(jiān)測與控制,對于工業(yè)生產(chǎn)的發(fā)展有著非常重要的意義。分析并設(shè)計了基于數(shù)字化一線總線技術(shù)的智能溫度測控系統(tǒng)。本系統(tǒng)采用FPGA實現(xiàn)一個溫度采集控制器,用于傳感器和上位機(jī)的連接,并采用微軟公司的Visu
          • 關(guān)鍵字: 一線總線  異步通訊  FPGA  MSCOMM  

          采用FPGA和DSP直接控制硬盤實現(xiàn)存儲控制的方法

          • 摘 要 介紹了采用FPGA和DSP直接控制硬盤進(jìn)行數(shù)據(jù)存儲的方法,并采用一片F(xiàn)IFO作為數(shù)據(jù)緩存,能夠滿足80Khz數(shù)據(jù)采樣率系統(tǒng)的存盤要求。 關(guān)鍵詞 FPGA;DSP;硬盤;數(shù)據(jù)存儲 1 引言 數(shù)據(jù)存儲是數(shù)據(jù)采集過程中
          • 關(guān)鍵字: FPGA  DSP  直接控制  硬盤    

          利用基于SystemC/TLM的方法學(xué)進(jìn)行IP開發(fā)和FPGA建模

          • 隨著系統(tǒng)級芯片技術(shù)的出現(xiàn),設(shè)計規(guī)模正變得越來越大,因而變得非常復(fù)雜,同時上市時間也變得更加苛刻。通常RTL已經(jīng)不足以擔(dān)當(dāng)這一新的角色。上述這些因素正驅(qū)使設(shè)計師開發(fā)新的方法學(xué),用于復(fù)雜IP(硬件和軟件)以及復(fù)雜
          • 關(guān)鍵字: SystemC  FPGA  TLM  IP開發(fā)    

          基于FPGA的可層疊組合式SoC原型系統(tǒng)設(shè)計

          • 為解決單片F(xiàn)PGA無法滿足復(fù)雜SoC原型驗證所需邏輯資源的問題,設(shè)計了一種可層疊組合式超大規(guī)模SoC驗證系統(tǒng)。該系統(tǒng)采用了模塊化設(shè)計,通過互補連接器和JTAG控制電路,支持最多5個原型模塊的層疊組合,最多可提供2 500萬門邏輯資源。經(jīng)本系統(tǒng)驗證的地面數(shù)字電視多媒體廣播基帶調(diào)制芯片(BHDTMBT1006)已成功流片。
          • 關(guān)鍵字: FPGA  SoC  層疊  組合式    

          對FPGA中SPI復(fù)用配置的編程方法的研究

          • SPI(SerialPeripheralInteRFace,串行外圍設(shè)備接口)是一種高速、全雙工、同步的通信總線,在芯片的引腳上...
          • 關(guān)鍵字: FPGA  SPI  復(fù)用配置  存儲器  

          基于ARM處理器S3C2440和Linux系統(tǒng)的I2C觸摸屏設(shè)計

          • 基于ARM處理器S3C2440和Linux系統(tǒng)的I2C觸摸屏設(shè)計,文中基于S3C2440的觸摸屏驅(qū)動開發(fā),考慮到與其他的ARM芯片兼容性,并沒有使用ARM自帶的A/D通道,而是外擴(kuò)了觸摸屏控制器TSC2007。對TSC2007的初始化,主要是初始化TSC2007與S3C2440的I2C接口連接,在驅(qū)動流程中,如果觸摸筆按下就進(jìn)人中斷處理程序,讀取x,y坐標(biāo),在采樣函數(shù)中設(shè)置了一個copy_to_user(buffer,dbuf,length)函數(shù),將從觸摸屏連續(xù)測的數(shù)據(jù),送入存儲區(qū)。
          • 關(guān)鍵字: I2C  觸摸屏  設(shè)計  系統(tǒng)  Linux  ARM  處理器  S3C2440  基于  

          用FPGA動態(tài)探頭與數(shù)字VSA對DSP設(shè)計實時分析

          • 用FPGA動態(tài)探頭與數(shù)字VSA對DSP設(shè)計實時分析,  隨著 FPGA 在數(shù)字通信設(shè)計領(lǐng)域(蜂窩基站、衛(wèi)星通信和雷達(dá))的高性能信號處理電路中成為可行的選擇,分析和調(diào)試工具必須包括能幫助您在最短時間內(nèi)得到電路最佳性能的新技術(shù)?! ‰m然現(xiàn)在已經(jīng)有多種連接仿真與射頻
          • 關(guān)鍵字: 設(shè)計  實時  分析  DSP  VSA  動態(tài)  探頭  數(shù)字  FPGA  

          一種出租車計價器的FPGA設(shè)計方案及應(yīng)用

          • O引言FPGA(FieldProgrammableGateArray,現(xiàn)場可編程門陣列)是一種高密度可編程邏輯器件,它支持...
          • 關(guān)鍵字: FPGA  Max+Plus  出租車計價器  

          采用中檔FPGA設(shè)計面向PCI Express系統(tǒng)的解決方案

          • 本文將探討PCI標(biāo)準(zhǔn)的局限性,以及下一代PCI Express是如何以節(jié)約成本的方式得以實現(xiàn)的。
          • 關(guān)鍵字: Express  FPGA  PCI  系統(tǒng)    

          FPGA的時鐘頻率同步原理研究與設(shè)計實現(xiàn)

          • 引言網(wǎng)絡(luò)化運動控制是未來運動控制的發(fā)展趨勢,隨著高速加工技術(shù)的發(fā)展,對網(wǎng)絡(luò)節(jié)點間的時間同步精度...
          • 關(guān)鍵字: FPGA  時鐘頻率同步  

          基于FPGA的PCB測試機(jī)硬件電路設(shè)計研究

          • 引言PCB光板測試機(jī)基本的測試原理是歐姆定律,其測試方法是將待測試點間加一定的測試電壓,用譯碼電...
          • 關(guān)鍵字: FPGA  PCB測試機(jī)  

          基于ARM平臺的嵌入式軟件保護(hù)方案

          • 基于ARM平臺的嵌入式軟件保護(hù)方案,基于ARM平臺提出了一種軟硬件結(jié)合的嵌入式系統(tǒng)軟件保護(hù)方案。利用加密算法AES和FS8826安全芯片,以嵌入式實時操作系統(tǒng)VxWorks為例,在啟動過程中加入認(rèn)證、密鑰讀取和解密操作以保證系統(tǒng)的安全啟動,并進(jìn)行周期性定時認(rèn)證。
          • 關(guān)鍵字: 軟件保護(hù)  方案  嵌入式  平臺  ARM  基于  

          基于0.13微米CMOS工藝下平臺式FPGA中可重構(gòu)RAM模塊的一種設(shè)計方法

          • 基于0.13微米CMOS工藝下平臺式FPGA中可重構(gòu)RAM模塊的一種設(shè)計方法,1. 引言

            對于需要大的片上存儲器的各種不同的應(yīng)用,F(xiàn)PGA 需要提供可重構(gòu)且可串聯(lián)的存儲器陣列。通過不同的配置選擇,嵌入式存儲器陣列可以被合并從而達(dá)到位寬或字深的擴(kuò)展并且可以作為單端口,雙端口
          • 關(guān)鍵字: RAM  重構(gòu)  模塊  設(shè)計  方法  FPGA  平臺  0.13  微米  CMOS  工藝  

          出租車計價器的FPGA設(shè)計

          • O 引 言
            FPGA(Field Programmable Gate Array,現(xiàn)場可編程門陣列)是一種高密度可編程邏輯器件,它支持系統(tǒng)可編程,通過寫入不同的配置數(shù)據(jù)就可以實現(xiàn)不同的邏輯功能。使用FPGA來設(shè)計電子系統(tǒng),具有設(shè)計周期短
          • 關(guān)鍵字: FPGA  出租車計價器    
          共10079條 538/672 |‹ « 536 537 538 539 540 541 542 543 544 545 » ›|

          fpga+arm介紹

          您好,目前還沒有人創(chuàng)建詞條fpga+arm!
          歡迎您創(chuàng)建該詞條,闡述對fpga+arm的理解,并與今后在此搜索fpga+arm的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();