<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga+dsp

          京微雅格攜眾方案亮相2014年慕尼黑上海電子展獲熱捧

          •   3月18—20日,京微雅格(北京)科技有限公司參加了在上海舉辦的慕尼黑電子展(electronica?Shanghai)?,現(xiàn)場向觀眾展示了眾多基于CME-M系列芯片的系統(tǒng)應用解決方案,包括智能機器人、地鐵信息導航系統(tǒng)、高速公路信息系統(tǒng)、食品安全監(jiān)測系統(tǒng)以及面向醫(yī)療電子領域的超聲鍵盤控制方案和呼吸機顯示系統(tǒng)等方案,獲得現(xiàn)場觀眾的極大關注和廣泛好評?! D示一:京微雅格展臺前,工程師給現(xiàn)場觀眾進行demo講解  京微雅格此次展示的智能機器人方案絕對是展臺上的明星。精細的運動控制、簡便
          • 關鍵字: 京微雅格  CME-M  FPGA  M7  

          基于FPGA的跳頻系統(tǒng)設計

          • 摘要:同步技術是跳頻系統(tǒng)的核心。本文針對FPGA的跳頻系統(tǒng),設計了一種基于獨立信道法,同步字頭法和精準時鐘相結合的快速同步方法,同時設計了基于雙圖案的改進型獨立信道法,同步算法協(xié)議,協(xié)議幀格式等。該設計使用VHDL硬件語言實現(xiàn),采用Altera公司的EP3C16 E144C8作為核心芯片,并在此硬件平臺上進行了功能驗證。實際測試表明,該快速同步算法建立時間短、同步穩(wěn)定可靠。 關鍵詞:跳頻;快速同步;FPGA;獨立信道法;同步頭法 跳頻通信技術具有抗干擾、抗截獲和高頻譜利用率,應用廣泛。同步是跳頻系統(tǒng)的
          • 關鍵字: FPGA  EP3C16   

          Xradio:別出心裁的系統(tǒng)教學用純FPGA無線電

          •   我們幾乎完全用FPGA來構建XRadio平臺,省略了放大器或分立濾波器等傳統(tǒng)模擬組件的使用(如圖1所示)。首先,我們將用電線連接成的簡單耦合電路鏈接至FPGA的I/O引腳,創(chuàng)建出基本天線。該天線用于發(fā)射RF信號到FPGA,F(xiàn)PGA通過數(shù)字下變頻和頻率解調實現(xiàn)FM接收器的信號處理。
          • 關鍵字: Xradio  無線電  FPGA  

          小型基站呼喚可擴展架構(下)

          •   與其他競爭對手不同,憑借重要的自主知識產權(IP),以及與無線接入市場領先原始設備制造商(OEM)的深入合作,飛思卡爾在定義架構,提高系統(tǒng)集成化水平實現(xiàn)性能、功率和成本優(yōu)勢方面居于獨特地位。由于相對獨立于外部IP供應商的下一代技術和時間表,飛思卡爾推出的器件路線圖可幫助OEM實現(xiàn)其下一代無線技術的性能目標,并滿足發(fā)布進度的要求。
          • 關鍵字: OEM  RF  DSP  

          基于FPGA的數(shù)字濾波器設計

          •   利用VHDL語言設計數(shù)字濾波器,主要在于如何實現(xiàn)乘法。乘法常用的實現(xiàn)方法有位串行乘法、分布式算法和并行乘法等。位串行乘法能節(jié)省大量硬件資源,但運算周期過長,對于數(shù)字濾波器這種高速率要求不宜采取。分布式算法是現(xiàn)在比較流行的一種乘法實現(xiàn)方式,所用硬件資源較少,運算速率也較快,但這只是針對小位寬乘法來說。對于數(shù)字濾波器的較大位寬的乘法,不宜采取。并行乘法,算法實現(xiàn)簡單直觀,對于現(xiàn)在資源豐富的FPGA,很好實現(xiàn)
          • 關鍵字: 濾波器  數(shù)字  FPGA  VHDL  

          用Zynq SoC實現(xiàn)高效比特幣礦機系統(tǒng)

          •   要設計出一個由可行的比特幣節(jié)點和高效靈活的礦機等組成的完整挖礦系統(tǒng),我們需要某種功能強大的FPGA芯片,來同時滿足靈活性和性能要求。除FPGA外,我們還需要使用處理引擎來提高效率。在這個完整的片上系統(tǒng)(SoC)上,我們需要經優(yōu)化的內核來運行包括網(wǎng)絡維護和交易處理在內的所有要求的比特幣任務。能滿足所有這些條件的硬件就是位于ZedBoard開發(fā)板上的Zynq-7020 SoC
          • 關鍵字: SoC  FPGA  SHA-256  

          用Zynq SoC設計低時延H.264系統(tǒng)

          •   小型快速的流式視頻系統(tǒng)結合采用微型H.264核和賽靈思Zynq SoCASSP架構不靈活,而基于FPGA微處理器組合的系統(tǒng)雖然尺寸大但較為靈活,一直以來設計人員為創(chuàng)建PCB占位面積小的基于IP的流式視頻系統(tǒng),除了在這兩者之間反復權衡外別無他選。將軟核微處理器集成到FPGA,就無需單獨的處理器和DRAM,但最終系統(tǒng)的性能可能無法與以外部ARM處理器為核心且可能還包括USB、以太網(wǎng)及
          • 關鍵字: H.264  SOC  FPGA  ASSP  

          基于視覺的駕駛員輔助嵌入式系統(tǒng)(上)

          •   本文簡要描述了基于攝像頭的主動安全系統(tǒng)的應用、引入它的動機及好處。此外,本文還介紹了視覺處理的未來解決方案與技術進步,可確保在功率有限的情況下實現(xiàn)最大性能。適用于前照燈控制、車道保持、交通標志識別及防碰撞功能的多功能前置攝像頭解決方案,目前使用分辨率高達120萬像素、每秒30幀的CMOS成像儀。隨著新一代傳感器的推出,分辨率將進一步提高。要在惡劣的天氣和照明條件下可靠地檢測物體,需要復雜的算法。車道保持、自動緊急剎車或交通擁堵輔助等半自動駕駛員輔助功能需要帶有算法冗余的ASIL D安全級別,但所有這些
          • 關鍵字: 嵌入式  CMOS  FPGA  MAC  

          英特爾攜阿爾特拉 力抗臺積賽靈思

          • 其實intel和Altera的密切合作已經有好長一段時間了,這是一個FPGA廠商和代工廠商向另一個FPGA和代工的叫板。二者的競爭誰能笑到最后呢?
          • 關鍵字: Altera  FPGA  

          基于FPGA的電視測角儀檢測技術方案

          • 摘要:通過分析電視測角儀的性能測試需求,結合視頻圖像圖像處理技術,提出了以EP2C35為核心的視頻檢測系統(tǒng)設計方案,通過對CCD采集到的模擬環(huán)境的視頻圖像信號進行數(shù)字化處理,結合電視測角儀參數(shù)檢測原理,對測角儀基本性能指標進行檢測,整個系統(tǒng)以視頻圖像采集系統(tǒng)為基礎,以視頻圖像處理為核心,為電視測角儀的檢測研究提供了一種新的思路。 關鍵詞:電視測角儀;參數(shù)檢測;視頻圖像處理;EP2C35芯片 電視測角儀是某型裝備的地面制導設備,它集光、機、電于一體,屬于技術密集的光電儀器。在該裝備系統(tǒng)運行過程中它的作用
          • 關鍵字: FPGA  EP2C35  

          Altera SoC FPGA架構解析

          • SoC FPGA器件在一個器件中同時集成了處理器和FPGA體系結構。將兩種技術合并起來具有很多優(yōu)點,包括更高的集成度、更低的功耗、更小的電路板面積,以及處理器和FPGA之間帶寬更大的通信等等。這一同類最佳的器件發(fā)揮了處理器與FPGA系統(tǒng)融合的優(yōu)勢,同時還保留了獨立處理器和FPGA方法的優(yōu)點。 目前,市場上主要有三種SoC FPGA,它們的處理器都是完全專用的“硬核”處理器子系統(tǒng),而不是FPGA架構中的軟核知識產權(IP)。所有這三種器件都采用了全功能ARM處理器,具有完整的存儲器
          • 關鍵字: Altera  FPGA  

          基于FPGA的Gzip解壓縮硬件設計

          •   Gzip壓縮后的文件主要由3個部分組成,分別是文件頭、壓縮數(shù)據(jù)部分、文件尾,如圖1所示。其中,文件頭包括:固定值,用于Gzip文件格式鑒別;壓縮方法,記錄壓縮時采用的壓縮方法;壓縮標志,記錄操作系統(tǒng)等信息;文件名,記錄壓縮時文件的名稱;CRC16,記錄文件頭CRC16校驗的值,等
          • 關鍵字: FPGA  Gzip  CRC32  PC  

          FPGA中Flash驅動模塊的設計及驗證

          •   隨著FPGA的功能日益強大和完善,F(xiàn)PGA在項目中的應用也越來越廣泛,其技術關鍵在于控制日益廣泛而豐富的外圍器件。本文以Flash存儲器件為FPGA的外圍,敘述了FPGA中SPI總線接口的Flash驅動模塊的設計,其接口基本符合Avalon總線的規(guī)范要求,并且通過實際的讀寫操作驗證
          • 關鍵字: Flash  驅動  FPGA  

          嵌入式開發(fā):DSP聲音采集系統(tǒng)硬件設計

          • 1引言聲音信號無處不在,同時也包含著大量的信息。在日常的生產生活中,我們分析聲音信號,便可以簡化過...
          • 關鍵字: 嵌入式  DSP  聲音采集  

          基于FPGA的濕度測量系統(tǒng)設計

          • 摘要:為了實時檢測常溫下的濕度,以便負責人根據(jù)需要調整環(huán)境狀態(tài)。采用測頻計數(shù)法結合頻差法設計了以FPGA芯片(EP2C8Q208C8N)為基礎的可用于濕度測量的石英晶體諧振頻率漂移檢測電路。重點介紹在FPGA平臺上通過測量石英晶體的諧振頻率來間接測量濕度的方法,討論了FPGA平臺上的每個模塊的設計過程,給出了部分模塊和整體電路的仿真圖,解釋了仿真結果。FPGA參與外圍硬件電路的輔助設計,會使設計更加簡單,周期更短。 濕度若能夠實時進行測量,對于生產、生活方面是很至關重要的,尤其是在那些倉儲、種植、養(yǎng)殖、
          • 關鍵字: FPGA  QCM  
          共9854條 195/657 |‹ « 193 194 195 196 197 198 199 200 201 202 » ›|

          fpga+dsp介紹

          您好,目前還沒有人創(chuàng)建詞條fpga+dsp!
          歡迎您創(chuàng)建該詞條,闡述對fpga+dsp的理解,并與今后在此搜索fpga+dsp的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();