<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-ask

          美高森美成立卓越安全中心旨在解決最具挑戰(zhàn)性的網(wǎng)絡(luò)安全問(wèn)題

          •   致力于在電源、安全、可靠和性能方面提供差異化半導(dǎo)體技術(shù)方案的領(lǐng)先供應(yīng)商美高森美公司(Microsemi Corporation)宣布成立卓越安全中心 (Security Center of Excellence),以響應(yīng)互聯(lián)世界中快速演變的網(wǎng)絡(luò)安全威脅。卓越安全中心駐有安全和系統(tǒng)分析員團(tuán)隊(duì),以及加密、硬件和軟件工程師,積極主動(dòng)地解決多個(gè)垂直市場(chǎng)中最關(guān)鍵的安全問(wèn)題,旨在為客戶提供附加價(jià)值,并且在創(chuàng)建更安全的世界方面發(fā)揮領(lǐng)導(dǎo)作用。   美高森美總裁兼首席運(yùn)營(yíng)官Paul Pickle表示:“我
          • 關(guān)鍵字: 美高森美  FPGA  

          PLD/FPGA入門,新手必備基礎(chǔ)知識(shí)

          •   簡(jiǎn)介:PLD是可編程邏輯器件(Programable Logic Device)的簡(jiǎn)稱,F(xiàn)PGA是現(xiàn)場(chǎng)可編程門陣列(Field Programable Gate Array)的簡(jiǎn)稱,兩者的功能基本相同,只是實(shí)現(xiàn)原理略有不同,所以我們有時(shí)可以忽略這兩者的區(qū)別,統(tǒng)稱為可編程邏輯器件或PLD/FPGA。 PLD是電子設(shè)計(jì)領(lǐng)域中最具活力和發(fā)展前途的一項(xiàng)技術(shù),它的影響絲毫不亞于70年代單片機(jī)的發(fā)明和使用。   PLD能做什么呢?可以毫不夸張的講,PLD能完成任何數(shù)字器件的功能,上至高性能CPU,下至簡(jiǎn)單的74
          • 關(guān)鍵字: PLD  FPGA  

          不得不看的電路設(shè)計(jì)八大誤區(qū)

          •   簡(jiǎn)介:我們常常會(huì)發(fā)現(xiàn),自己想當(dāng)然的一些規(guī)則或道理往往會(huì)存在一些差錯(cuò)。電子工程師在電路設(shè)計(jì)中也會(huì)有這樣的例子。下面是一位工程師總結(jié)的八大誤區(qū)點(diǎn)。   現(xiàn)象一:這板子的PCB設(shè)計(jì)要求不高,就用細(xì)一點(diǎn)的線,自動(dòng)布   點(diǎn)評(píng):自動(dòng)布線必然要占用更大的PCB面積,同時(shí)產(chǎn)生比手動(dòng)布線多好多倍的過(guò)孔,在批量很大的產(chǎn)品中,PCB廠家降價(jià)所考慮的因素除了商務(wù)因素外,就是線寬和過(guò)孔數(shù)量,它們分別影響到PCB的成品率和鉆頭的消耗數(shù)量,節(jié)約了供應(yīng)商的成本,也就給降價(jià)找到了理由。   現(xiàn)象二:這些總線信號(hào)都用電阻拉一下,
          • 關(guān)鍵字: PCB  FPGA  

          衛(wèi)星導(dǎo)航系統(tǒng)基帶偽碼完整系統(tǒng)解決方案

          •   項(xiàng)目背景及可行性分析   1.項(xiàng)目名稱、項(xiàng)目的主要內(nèi)容及目前的進(jìn)展情況   項(xiàng)目名稱:衛(wèi)星導(dǎo)航系統(tǒng)基帶偽碼的頻域快捕獲;   項(xiàng)目的主要內(nèi)容:利用FPGA設(shè)計(jì)實(shí)現(xiàn)GNSS信號(hào)的頻域快速捕獲算法。   目前的進(jìn)展情況:擁有成熟的算法結(jié)構(gòu)和仿真測(cè)試結(jié)果,開(kāi)始著手相關(guān)模塊的實(shí)現(xiàn)。   2.項(xiàng)目關(guān)鍵技術(shù)及創(chuàng)新點(diǎn)的論述   創(chuàng)新點(diǎn)(1):基于FFT,在頻域計(jì)算偽碼 序列的循環(huán)相關(guān)值。在每一個(gè)多普勒頻移,對(duì)所有碼延遲同時(shí)計(jì)算出相關(guān)結(jié)果。和傳統(tǒng)的直接計(jì)算本地與接收信號(hào)相關(guān)值的方法相比,運(yùn)算時(shí)間短。對(duì)C/
          • 關(guān)鍵字: 基帶  FPGA  

          迄今最強(qiáng)大的實(shí)時(shí)網(wǎng)絡(luò)交換處理器設(shè)計(jì)案例分享

          •   項(xiàng)目背景及可行性分析   項(xiàng)目名稱、項(xiàng)目的主要內(nèi)容及目前的進(jìn)展情況   項(xiàng)目名稱:實(shí)時(shí)網(wǎng)絡(luò)交換處理器   項(xiàng)目?jī)?nèi)容:基于Xilinx高端FPGA構(gòu)建實(shí)時(shí)網(wǎng)絡(luò)交換處理器,實(shí)現(xiàn)數(shù)據(jù)的高速實(shí)時(shí)采集、交換、存儲(chǔ)以及實(shí)時(shí)處理,填補(bǔ)國(guó)內(nèi)在實(shí)時(shí)測(cè)控設(shè)備方面的空白。   目前的進(jìn)展情況:系統(tǒng)框架構(gòu)建階段部分子系統(tǒng)已經(jīng)實(shí)現(xiàn)。   項(xiàng)目關(guān)鍵技術(shù)及創(chuàng)新點(diǎn)的論述   關(guān)鍵技術(shù):實(shí)時(shí)測(cè)控技術(shù)一直是航空、航天、核工業(yè)、汽車工業(yè)領(lǐng)域的重要支撐技術(shù),目前國(guó)內(nèi)在實(shí)時(shí)測(cè)控領(lǐng)域采用的設(shè)備一直依賴于國(guó)外進(jìn)口設(shè)備,價(jià)格昂貴,現(xiàn)有的
          • 關(guān)鍵字: 網(wǎng)絡(luò)交換處理器  FPGA  

          驢友必備神器--多功能對(duì)講機(jī)通信系統(tǒng)設(shè)計(jì)方案

          •   項(xiàng)目背景及可行性分析   1、項(xiàng)目名稱:基于FPGA的多功能對(duì)講機(jī)通信系統(tǒng)設(shè)計(jì)   2、項(xiàng)目主要內(nèi)容:本系統(tǒng)核心部件為FPGA數(shù)字鎖相環(huán)振蕩器,通過(guò)調(diào)制解調(diào)模塊實(shí)現(xiàn)語(yǔ)音和數(shù)字的通信,并利用FPGA的強(qiáng)大功能實(shí)現(xiàn)MP3和一些擴(kuò)展功能。   3、技術(shù)成熟性和可靠性:在申請(qǐng)此項(xiàng)目之前,我們小組已經(jīng)完成了基于鎖相環(huán)MB1504的無(wú)線單路通信系統(tǒng)的設(shè)計(jì)與調(diào)試,也已經(jīng)完成報(bào)告,對(duì)通信設(shè)計(jì)提供了基礎(chǔ)。   項(xiàng)目實(shí)施方案   1.方案基本功能框圖及描述        系統(tǒng)采用FPGA數(shù)字
          • 關(guān)鍵字: 對(duì)講機(jī)  FPGA  

          國(guó)產(chǎn)芯片崛起 超越洋品牌兩關(guān)待闖

          • 國(guó)產(chǎn)芯片正在崛起,但是想要抓住這一千載難逢的發(fā)展機(jī)遇其實(shí)也面臨不小的挑戰(zhàn),尤其是與國(guó)外芯片廠商相比,國(guó)產(chǎn)芯片在多方面還存在不小的差距,要想取得長(zhǎng)足的進(jìn)步和發(fā)展,還需要多練好“內(nèi)功”。
          • 關(guān)鍵字: 芯片  FPGA  

          史上最牛高速手勢(shì)識(shí)別系統(tǒng)解決方案

          •   1設(shè)計(jì)摘要   目前,研究自然化的人機(jī)交互是當(dāng)今計(jì)算機(jī)科學(xué)技術(shù)領(lǐng)域的主要研究熱點(diǎn)之一,手勢(shì)輸入作為一種自然、豐富、直接的交互手段在人機(jī)交互技術(shù)中占有重要的地位。本項(xiàng)目提出以Xilinx公司Spartan 6系列FPGA為核心器件的手勢(shì)識(shí)別系統(tǒng)設(shè)計(jì)的方案,采用FPGA芯片的內(nèi)置DSP硬核作為手勢(shì)識(shí)別模塊的核心,負(fù)責(zé)圖像識(shí)別算法的實(shí)現(xiàn),采用FPGA作為圖像采集模塊的控制中心,負(fù)責(zé)圖像的采集,完成預(yù)處理和攝像頭聚焦和云臺(tái)的控制工作,以FPGA高速?gòu)?qiáng)大的處理能力保證了系統(tǒng)的實(shí)時(shí)性。手勢(shì)識(shí)別部分融合人手顏色信
          • 關(guān)鍵字: 手勢(shì)識(shí)別  FPGA  

          最牛汽車環(huán)視系統(tǒng)設(shè)計(jì),實(shí)現(xiàn)360度無(wú)死角的安全駕駛

          •   一. 項(xiàng)目概述   隨著當(dāng)前國(guó)民經(jīng)濟(jì)的快速發(fā)展和人民生活水平的提高,越來(lái)越多的家庭擁有汽車作為代步工具,如何安全便捷地泊好車成為了眾多駕駛者共同面對(duì)的難題。   傳統(tǒng)的泊車系統(tǒng)主要通過(guò)三種手段使司機(jī)能夠看到汽車后方情況,這些手段分別是倒后鏡、倒車?yán)走_(dá)和倒車攝像頭。然而,這三種手段都存在車側(cè)面這個(gè)盲區(qū)。對(duì)于一些較復(fù)雜路段,司機(jī)只能看到前后方向,而車體兩側(cè)則容易被路邊異物刮傷。   因此,對(duì)汽車360°環(huán)視系統(tǒng)進(jìn)行研究和開(kāi)發(fā)具有很高的前景性和應(yīng)用性。本項(xiàng)目采用Xilinx Spartan 6
          • 關(guān)鍵字: Xilinx  FPGA  

          FPGA的系統(tǒng)架構(gòu)組成和器件互聯(lián)問(wèn)題

          •   通常來(lái)講,“一個(gè)好漢三個(gè)幫”,一個(gè)完整的嵌入式系統(tǒng)中由單獨(dú)一個(gè)FPGA使用的情況較少。通常由多個(gè)器件組合完成,例如由一個(gè)FPGA+CPU來(lái)構(gòu)成。通常為一個(gè)FPGA+ARM,ARM負(fù)責(zé)軟件配置管理,界面輸入外設(shè)操作等操作,F(xiàn)PGA負(fù)責(zé)大數(shù)據(jù)量運(yùn)算,可以看做CPU的專用協(xié)處理器來(lái)使用,也常會(huì)用于擴(kuò)展外部接口。常用的有ARM+FPGA,DSP+FPGA,或者網(wǎng)絡(luò)處理器+FPGA等種種架構(gòu)形式,這些架構(gòu)形式構(gòu)成整個(gè)高速嵌入式設(shè)備的處理形態(tài)。   不得不說(shuō)的是,隨著技術(shù)的進(jìn)步,現(xiàn)在CP
          • 關(guān)鍵字: FPGA  DSP  

          燈光里的三維世界

          •   Led的出現(xiàn),給顯示行業(yè)帶來(lái)了一場(chǎng)沖擊,它低功耗、多色彩、價(jià)格低廉等優(yōu)點(diǎn)逐漸顯現(xiàn),越來(lái)越廣泛的應(yīng)用在了各種顯示設(shè)備上。在街上隨處都可見(jiàn)led做的商業(yè)牌子,就連公交車、出租車的后面都帶有l(wèi)ed的顯示裝置,用來(lái)顯示廣告等信息,尤其是在濟(jì)南泉城廣場(chǎng)那個(gè)大的led顯示屏,可以用來(lái)播放各種視頻和廣告,給我留下深刻印象,還有我們山大中心校區(qū)的新體育場(chǎng)上用到的led顯示,其效果很是玄幻,所以其有很好的開(kāi)發(fā)前景。   但是這些led的應(yīng)用僅限于平面顯示,效果沒(méi)有達(dá)到最佳,現(xiàn)在我要做的是將led的平面顯示做成立體顯示
          • 關(guān)鍵字: Led  FPGA  

          漫談xilinx FPGA 配置電路

          •   這里要談的時(shí)xilinx的spartan-3系列FPGA的配置電路。當(dāng)然了,其它系列的FPGA配置電路都是大同小異的,讀者可以類推,重點(diǎn)參考官方提供的datasheet,畢竟那才是最權(quán)威的資料。這里特權(quán)同學(xué)只是結(jié)合自己的理解,用通俗的語(yǔ)言作一點(diǎn)描述。   所謂典型,這里要列出一個(gè)市面上最常見(jiàn)的spartan-3的xc3s400的配置電路。所有spartan-3的FPGA配置電路的鏈接方式都是一樣的。Xc3s400是40萬(wàn)門FPGA,它的Configuration   Bitstream雖然只有1.
          • 關(guān)鍵字: xilinx  FPGA  

          一款自己DIY的遠(yuǎn)程智能監(jiān)控機(jī)器人

          •   設(shè)計(jì)摘要:   本項(xiàng)目論述了基于網(wǎng)絡(luò)通信技術(shù)和FPGA實(shí)現(xiàn)家庭遠(yuǎn)程控制的方案。用戶可以通過(guò)短信或Internet 網(wǎng)絡(luò)等方式,訪問(wèn)家庭控制器,通過(guò)車載攝像頭監(jiān)控家庭,從而實(shí)現(xiàn)家庭防火防盜的目的。設(shè)想如果在辦公室想對(duì)家中的情況進(jìn)行了解,而又束手無(wú)策時(shí),本系統(tǒng)便很好的解決了這個(gè)問(wèn)題,它可以通過(guò)PC機(jī)遠(yuǎn)程操控家中的智能小車,把車載攝像頭采集的圖像數(shù)據(jù)通過(guò)以太網(wǎng)的方式傳送到PC端,而PC端又可以控制小車的移動(dòng)以提取不同位置的信息,從而實(shí)現(xiàn)對(duì)家庭的遠(yuǎn)程監(jiān)控。這實(shí)際是一項(xiàng)機(jī)器視覺(jué)的技術(shù),在工業(yè)控制中有非常廣的應(yīng)
          • 關(guān)鍵字: FPGA  機(jī)器人  

          FPGA入門者必讀寶典:詳述開(kāi)發(fā)流程每一環(huán)節(jié)的物理含義和實(shí)現(xiàn)目標(biāo)

          •   要知道,要把一件事情做好,不管是做哪們技術(shù)還是辦什么手續(xù),明白這個(gè)事情的流程非常關(guān)鍵,它決定了這件事情的順利進(jìn)行與否。同樣,我們學(xué)習(xí)FPGA開(kāi)發(fā)數(shù)字系統(tǒng)這個(gè)技術(shù),先撇開(kāi)使用這個(gè)技術(shù)的基礎(chǔ)編程語(yǔ)言的具體語(yǔ)法、使用工具和使用技巧不談,咱先來(lái)弄清楚FPGA的開(kāi)發(fā)流程是什么。   FPGA的開(kāi)發(fā)流程是遵循著ASIC的開(kāi)發(fā)流程發(fā)展的,發(fā)展到目前為止,F(xiàn)PGA的開(kāi)發(fā)流程總體按照?qǐng)D1進(jìn)行,有些步驟可能由于其在當(dāng)前項(xiàng)目中的條件的寬度的允許,可以免去,比如靜態(tài)仿真過(guò)程,這樣來(lái)達(dá)到項(xiàng)目時(shí)間上的優(yōu)勢(shì)。但是,大部分的流程步
          • 關(guān)鍵字: FPGA  RTL  

          基于FPGA的TS over IP的設(shè)計(jì)與實(shí)現(xiàn)

          • 隨著互聯(lián)網(wǎng)的發(fā)展, 數(shù)字電視信號(hào)的網(wǎng)絡(luò)傳輸?shù)玫搅嗽絹?lái)越多的關(guān)注,本文設(shè)計(jì)與實(shí)現(xiàn)了一種基于FPGA和MCU(R8051XC2)的TS over IP系統(tǒng),并對(duì)傳統(tǒng)的TS over IP系統(tǒng)進(jìn)行了改進(jìn)。此系統(tǒng)通過(guò)從標(biāo)準(zhǔn)TS流接口接收TS流,將其以乒乓操作的方式存放在兩個(gè)雙口RAM中,再通過(guò)一定方法封裝IP包發(fā)送至網(wǎng)絡(luò),實(shí)現(xiàn)了TS流和網(wǎng)絡(luò)IP數(shù)據(jù)包的相互轉(zhuǎn)換。通過(guò)向系統(tǒng)推送TS流數(shù)據(jù)并使用抓包軟件對(duì)經(jīng)過(guò)系統(tǒng)的數(shù)據(jù)進(jìn)行分析統(tǒng)計(jì)的方法證明,得出以下結(jié)論,此系統(tǒng)在相同情況與有限的硬件條件下,相比傳統(tǒng)的使用FIFO作為
          • 關(guān)鍵字: FPGA  TS over IP  異步時(shí)鐘域通信  雙端口RAM  201509  
          共6367條 118/425 |‹ « 116 117 118 119 120 121 122 123 124 125 » ›|

          fpga-ask介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-ask!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-ask的理解,并與今后在此搜索fpga-ask的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();