<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-ask

          【從零開(kāi)始走進(jìn)FPGA】隨心所欲——DIY 系統(tǒng)板

          •   就算你代碼再怎么牛逼,硬件描述語(yǔ)言再怎么熟練,沒(méi)有認(rèn)知FPGA的工作原理,一切都是浮云。因此,在真正開(kāi)始實(shí)戰(zhàn)演練之前,Bingo將首先介紹FPGA最小工作配置要求,以及一些基本的外設(shè),并通過(guò)DIY CPLD/FPGA系統(tǒng)板案例的分析講解,用淺顯易懂的語(yǔ)言,讓初學(xué)者深刻認(rèn)識(shí)CPLD/FPGA的工作原理,能夠有一個(gè)更深刻的軟硬件思維。   一、Altium Designer 09 winter 軟件介紹        Layout的軟件有很多,包括Altium Designer、P
          • 關(guān)鍵字: FPGA  CPLD  DIY   

          零基礎(chǔ)學(xué)FPGA(八)手把手解析時(shí)序邏輯乘法器代碼

          •   上次看了一下關(guān)于乘法器的Verilog代碼,有幾個(gè)地方一直很迷惑,相信很多初學(xué)者看這段代碼一定跟我當(dāng)初一樣,看得一頭霧水,在網(wǎng)上也有一些網(wǎng)友提問(wèn),說(shuō)這段代碼不好理解,今天小墨同學(xué)就和大家一起來(lái)看一下這段代碼,我會(huì)親自在草稿紙上演算,盡量把過(guò)程寫(xiě)的詳細(xì)些,讓更多的人了解乘法器的設(shè)計(jì)思路。   下面是一段16位乘法器的代碼,大家可以先瀏覽一下,之后我再做詳細(xì)解釋   module mux16(   clk,rst_n,   start,ain,bin,yout,done   );   inpu
          • 關(guān)鍵字: FPGA  Verilog  時(shí)序邏輯  

          20個(gè)Nios Ⅱ的經(jīng)典設(shè)計(jì),提供軟硬件架構(gòu)、流程、算法

          •   Nios Ⅱ嵌入式處理器是ALTERA公司推出的采用哈佛結(jié)構(gòu)、具有32位指令集的第二代片上可編程的軟核處理器, 其最大優(yōu)勢(shì)和特點(diǎn)是模塊化的硬件結(jié)構(gòu), 以及由此帶來(lái)的靈活性和可裁減性。本文基于Nios Ⅱ介紹20款經(jīng)典設(shè)計(jì)方案,供大家參考。   基于NiosⅡ的U盤安全控制器設(shè)計(jì)   本文針對(duì)U盤的安全隱患,分析目前較為常見(jiàn)的解決方法,利用SoPC技術(shù),設(shè)計(jì)實(shí)現(xiàn)了一款基于NiosⅡ處理器的U盤安全控制器。該控制器位于PC機(jī)和U盤之間,通過(guò)對(duì)U盤進(jìn)行扇區(qū)級(jí)的加解密操作,將普通U盤升級(jí)為安全U盤,保證U
          • 關(guān)鍵字: ALTERA  FPGA  SoPC  

          基于NiosⅡ的直流電機(jī)PID調(diào)速控制系統(tǒng)設(shè)計(jì)與應(yīng)用方案

          •   引言   以往的直流電機(jī)調(diào)速系統(tǒng)通常采用單片機(jī)或DSP進(jìn)行控制,而單片機(jī)需要使用大量的外圍電路,且系統(tǒng)的可升級(jí)性差,如更換控制器,往往要對(duì)整個(gè)軟硬件進(jìn)行重新設(shè)計(jì),可重用性不高。而采用DSP作為主要控制器,如果碰到處理多任務(wù)系統(tǒng)時(shí),一片DSP不能勝任,這時(shí)就需要再擴(kuò)展一片DSP或者FPGA芯片來(lái)輔助控制,從而實(shí)行雙芯片控制模式。但這樣做,既增加了兩個(gè)處理器之間同步和通信的負(fù)擔(dān),又使系統(tǒng)實(shí)時(shí)性變壞,延長(zhǎng)系統(tǒng)開(kāi)發(fā)時(shí)間。基于以上此類問(wèn)題,本文提出了采用Altera公司推出的NiosⅡ軟核來(lái)控制直流電機(jī)調(diào)速系
          • 關(guān)鍵字: PID  NiosⅡ  FPGA  

          基于NiosⅡ處理器的多功能計(jì)數(shù)器系統(tǒng)設(shè)計(jì)

          •   系統(tǒng)以FPGA為核心,通過(guò)對(duì)正弦信號(hào)進(jìn)行濾波、放大整形后得到標(biāo)準(zhǔn)的方波,由FPGA對(duì)其頻率、周期及相位差進(jìn)行測(cè)量。頻率、周期測(cè)量采用等精度測(cè)量法,其具有精度高的特點(diǎn);相位差測(cè)量采用鑒相器分辨出相位差后測(cè)量其高電平所占比例測(cè)量。摒棄傳統(tǒng)的FPGA+單片機(jī)方案,利用SOPC Builder在FPGA上構(gòu)建Nios Ⅱ處理器對(duì)測(cè)量的數(shù)據(jù)進(jìn)行數(shù)據(jù)處理及顯示,實(shí)現(xiàn)了頻率、周期、相位差測(cè)量的片上系統(tǒng)(SOPC),提高了系統(tǒng)的穩(wěn)定性、降低了布線難度。   基于Nios_處理器的多功能計(jì)數(shù)器系統(tǒng)設(shè)計(jì).pdf
          • 關(guān)鍵字: NiosⅡ  多功能計(jì)數(shù)器  FPGA  

          基于NiosⅡ的1553B總線通訊模塊設(shè)計(jì)與開(kāi)發(fā)

          •   自2005年9月LXI總線推出以來(lái),已經(jīng)顯示出其組建測(cè)試系統(tǒng)的眾多優(yōu)點(diǎn)?;贚XI總線組建測(cè)試系統(tǒng)具有易于使用、靈活性高、模塊化和可擴(kuò)縮性、實(shí)現(xiàn)更快的系統(tǒng)吞吐率、可分布式應(yīng)用、長(zhǎng)壽命、低成本、通過(guò)IEEE1588時(shí)鐘同步、機(jī)架空間小、合成儀器等諸多優(yōu)點(diǎn)。   1553B總線的全名為“時(shí)分制指令/響應(yīng)式多路傳輸數(shù)據(jù)總線”,國(guó)內(nèi)多型戰(zhàn)斗機(jī)、軍艦等武器平臺(tái)都采用其作為傳輸總線。因此研制基于LXI總線的1553B通訊模塊,不僅能滿足多型武器裝備對(duì)1553B總線的測(cè)試需求,也對(duì)LXI總
          • 關(guān)鍵字: NiosⅡ  1553B  FPGA  

          京微雅格FPGA的仿真方法

          •   京微雅格是世界上除美國(guó)硅谷以外唯一自主研發(fā)并成功量產(chǎn)現(xiàn)場(chǎng)可編程邏輯(FPGA)芯片的公司,目前擁有數(shù)百項(xiàng)技術(shù)專利和近百款產(chǎn)品。目前,已經(jīng)有越來(lái)越多的用戶都開(kāi)始使用國(guó)產(chǎn)FPGA來(lái)做自己的設(shè)計(jì),然而在FPGA的開(kāi)發(fā)過(guò)程中,免不了要對(duì)設(shè)計(jì)進(jìn)行仿真。京微雅格的FPGA是支持在modelsim中進(jìn)行仿真的。   京微雅格的FPGA需要在Primace軟件中進(jìn)行開(kāi)發(fā),為了便于客戶進(jìn)行仿真設(shè)計(jì),在Primace5.0及以上版本都支持在工程中直接調(diào)用仿真工具M(jìn)odelsim。同時(shí),也支持在modelsim中直接進(jìn)行
          • 關(guān)鍵字: 京微雅格  FPGA  仿真  

          利用Spartan-3 FPGA實(shí)現(xiàn)高性能DSP功能

          •   Spartan-3 FPGA能以突破性的價(jià)位點(diǎn)實(shí)現(xiàn)嵌入式DSP功能。本文闡述了Spartan-3 FPGA針對(duì)DSP而優(yōu)化的特性,并通過(guò)實(shí)現(xiàn)示例分析了它們?cè)谛阅芎统杀旧系膬?yōu)勢(shì)。   所有低成本的FPGA都以頗具吸引力的價(jià)格提供基本的邏輯性能,并能滿足廣泛的多用途設(shè)計(jì)需求。然而,當(dāng)考慮在FPGA構(gòu)造中嵌入DSP功能時(shí),必須選擇高端FPGA以獲得諸如嵌入式乘法器和分布式存儲(chǔ)器等平臺(tái)特性。   Spartan-3 FPGA的面世改變了嵌入式DSP的應(yīng)用前景。雖然Spartan-3系列器件的價(jià)位可能較低,
          • 關(guān)鍵字: 賽靈思  FPGA  Spartan-3  

          意法半導(dǎo)體(ST)與米蘭理工大學(xué)通過(guò)PFGA合作開(kāi)發(fā)FASTER 3D圖形應(yīng)用系統(tǒng)

          •   橫跨多重電子應(yīng)用領(lǐng)域、全球領(lǐng)先的半導(dǎo)體供應(yīng)商意法半導(dǎo)體(STMicroelectronics,簡(jiǎn)稱ST)宣布對(duì)基于射線跟蹤 (ray-tracing) 技術(shù)的實(shí)驗(yàn)性3D圖形應(yīng)用系統(tǒng)進(jìn)行測(cè)試驗(yàn)證。該解決方案采用一顆與現(xiàn)場(chǎng)可編程門陣列 (FPGA, Field-Programmable Gate Array) 相連、基于ARM®處理器的測(cè)試芯片。FASTER 研發(fā)項(xiàng)目以“簡(jiǎn)化分析合成技術(shù),實(shí)現(xiàn)有效配置”為目標(biāo),是意法半導(dǎo)體與米蘭理工大學(xué) (Politecnico di Mi
          • 關(guān)鍵字: 意法半導(dǎo)體  FPGA  GPU  

          【從零開(kāi)始走進(jìn)FPGA】創(chuàng)造平臺(tái)——Quartus II 11.0 套件安裝指南

          •   一、Altera Quartus II 11.0套件介紹   所謂巧婦難為無(wú)米之炊,再?gòu)?qiáng)的軟硬件功底,再多的思維創(chuàng)造力,沒(méi)有軟件的平臺(tái),也只是徒勞。因此,一切創(chuàng)造的平臺(tái)——Quartus II 軟件安裝,由零開(kāi)啟的世界,便從此開(kāi)始。   自從Bingo 2009年開(kāi)始接觸FPGA,Quartus II 版本的軟件從n年前的5.1版本到今天的最新發(fā)布的11.0,都使用過(guò);當(dāng)然對(duì)于軟件核心構(gòu)架而言,萬(wàn)變不離其宗。雖然多多少少有點(diǎn)bug,但這10多個(gè)版本發(fā)展到了現(xiàn)在,能看到Alt
          • 關(guān)鍵字: FPGA  Quartus II   

          零基礎(chǔ)學(xué)FPGA(七)淺談狀態(tài)機(jī)

          •   今天我們來(lái)寫(xiě)狀態(tài)機(jī)。   關(guān)于狀態(tài)機(jī)呢,想必大家應(yīng)該都接觸過(guò),通俗的講就是數(shù)電里我們學(xué)的狀態(tài)轉(zhuǎn)換圖。狀態(tài)機(jī)分為兩中類型,一種叫Mealy型,一種叫Moore型。前者就是說(shuō)時(shí)序邏輯的輸出不僅取決于當(dāng)前的狀態(tài),還取決于輸入,而后者就是時(shí)序邏輯的輸出僅僅取決于當(dāng)前的狀態(tài)。下面兩個(gè)圖分別表示兩種不同的狀態(tài)機(jī)。    ?    ?   下面我們就通過(guò)代碼來(lái)寫(xiě)一下?tīng)顟B(tài)機(jī),以下面的狀態(tài)轉(zhuǎn)換圖為例    ?   首先,是一種典型的狀態(tài)機(jī)寫(xiě)法,這種寫(xiě)法我們稱為
          • 關(guān)鍵字: FPGA  狀態(tài)機(jī)  Mealy  Moore  

          零基礎(chǔ)學(xué)FPGA(六)今天講習(xí)題

          •   習(xí)題呢,來(lái)自夏雨聞老師的那本教材,就挑幾個(gè)感覺(jué)自己做著有點(diǎn)難度的寫(xiě)寫(xiě)吧    ?   這個(gè)題呢剛開(kāi)始我是沒(méi)看明白,記得書(shū)上只講了我們習(xí)慣上的用法,這種用法我是沒(méi)見(jiàn)過(guò),問(wèn)了下別人才知道,Verilog中一般是左高右低。第一個(gè)沒(méi)問(wèn)題,第二個(gè),input [0:2] IP,習(xí)慣上我們這樣寫(xiě) input [2:0] IP,這里兩個(gè)是等價(jià)的,即表示第0 .1 .2 三位。第三個(gè),wire [16:23] A,也是,左高右低,表示第16.17.....22. 23位,左高右低就這樣記就好了。
          • 關(guān)鍵字: FPGA  夏雨聞  寄存器  

          基于MicroBlaze軟核的FPGA片上系統(tǒng)設(shè)計(jì)

          •   Xilinx公司的MicroBlaze 32位軟處理器核是支持CoreConnect總線的標(biāo)準(zhǔn)外設(shè)集合。MicroBlaze處理器運(yùn)行在150MHz時(shí)鐘下,可提供125 D-MIPS的性能,非常適合設(shè)計(jì)針對(duì)網(wǎng)絡(luò)、電信、數(shù)據(jù)通信和消費(fèi)市場(chǎng)的復(fù)雜嵌入式系統(tǒng)。   1 MicroBlaze的體系結(jié)構(gòu)   MicroBlaze 是基于Xilinx公司FPGA的微處理器IP核,和其它外設(shè)IP核一起,可以完成可編程系統(tǒng)芯片(SOPC)的設(shè)計(jì)。MicroBlaze 處理器采用RISC架構(gòu)和哈佛結(jié)構(gòu)的32位指令和
          • 關(guān)鍵字: MicroBlaze  Xilinx  FPGA  

          基于MicroBlaze嵌入式Web服務(wù)器設(shè)計(jì)

          •   1 引言   由于Internet技術(shù)的滲透,嵌入式系統(tǒng)正變得越來(lái)越智能化并具有越來(lái)越多的網(wǎng)絡(luò)友好特性。Web技術(shù)的飛速發(fā)展,給嵌入式系統(tǒng)進(jìn)入Internet提供絕佳的途徑。在現(xiàn)場(chǎng)儀表和企業(yè)設(shè)備層應(yīng)用嵌入式技術(shù)是企業(yè)監(jiān)控系統(tǒng)的發(fā)展趨勢(shì)。與現(xiàn)場(chǎng)總線技術(shù)相比,嵌入式技術(shù)不僅為開(kāi)發(fā)者提供了大量的工具和函數(shù)庫(kù),而且減少了傳統(tǒng)的客戶端,減少了二次開(kāi)發(fā)的工作量;而把嵌入式技術(shù)和Internet技術(shù)結(jié)合起來(lái),使得整個(gè)工控網(wǎng)絡(luò)易于和Internet實(shí)現(xiàn)無(wú)縫連接;現(xiàn)在多數(shù)企業(yè)控制網(wǎng)絡(luò)是通過(guò)專用線路進(jìn)行數(shù)據(jù)通信,其通信
          • 關(guān)鍵字: MicroBlaze  Xilinx  FPGA  

          基于MicroBlaze軟核的液晶驅(qū)動(dòng)程序設(shè)計(jì)

          •   1 MicroBlaze的體系結(jié)構(gòu)   MicroBlaze采用功能強(qiáng)大的32位流水線結(jié)構(gòu),包含32個(gè)32位通用寄存器和1個(gè)可選的32位移位器,時(shí)鐘頻率可達(dá)150 MHz;在Virrex一4 FPGA上運(yùn)行速率高達(dá)120 DMIPS,僅占用Virtex—II Pro FPGA中的950個(gè)邏輯單元。MicroBlaze軟核的結(jié)構(gòu)框圖如圖1所示。它具有以下基本特征:  ?、?2個(gè)32位通用寄存器和2個(gè)專用寄存器(程序計(jì)數(shù)器和狀態(tài)標(biāo)志寄存器)。  ?、?2位指令系統(tǒng),支持3個(gè)操作數(shù)和2種尋
          • 關(guān)鍵字: MicroBlaze  GPIO  FPGA  
          共6367條 134/425 |‹ « 132 133 134 135 136 137 138 139 140 141 » ›|

          fpga-ask介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-ask!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-ask的理解,并與今后在此搜索fpga-ask的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();