<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-ask

          淺談FPGA設計原則與技巧

          • 前言FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,作為專用集成電路領域中的一種半定制電路,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發(fā)展下的產物。近幾年來由于FPGA器件的應用十分廣泛,而F
          • 關鍵字: FPGA  設計原則    

          基于FPGA的簡易微型計算機結構分析與實現(xiàn)

          • 引 言通常,人們對微型計算機的工作原理及硬件結構的了解來源于書本知識,深入理解掌握其功能特點比較困難,要自己親手去做一個類似功能的微型計算機更是不可能。隨著可編程邏輯器件的廣泛應用,為數字系統(tǒng)的設計帶來
          • 關鍵字: FPGA  微型計算機  結構分析    

          基于FPGA的數字電壓表的設計

          • 0 引 言傳統(tǒng)的數字電壓表設汁通常以大規(guī)模ASIC(專用集成電路)為核心器件,并輔以少量中規(guī)模集成電路及顯示器件構成。ASIC完成從模擬量的輸入到數字量的輸出,是數字電壓表的心臟。這種電壓表的設計簡單、精確度高,但
          • 關鍵字: FPGA  數字電壓表    

          OFDM系統(tǒng)中頻域同步技術及FPGA實現(xiàn)

          • 摘要:針對OFDM系統(tǒng)頻域中的整數倍頻率偏移、小數倍頻率偏移、采樣鐘頻率偏移和定時偏移等問題,本文提出了相應的解決方案,并采用FPGA對各方法進行硬件電路實現(xiàn)。這些硬件實現(xiàn)方法巧妙,估計精確,能節(jié)省大量硬件資
          • 關鍵字: OFDM  FPGA  系統(tǒng)  頻域    

          分析CPLD與FPGA區(qū)別

          • 可編程邏輯器件主要包括FPGA和CPLD,F(xiàn)PGA是Field Programmable Gate Array縮寫,CPLD是Complex Promrammable Logic Device的縮寫。隨著芯片技術的發(fā)展,CPLD和FPGA的概念已經模糊在一起,如Altera和Lattice公司把小容
          • 關鍵字: CPLD  FPGA  分析    

          Altera交付業(yè)界最全面的28-nm FPGA系列開發(fā)套件

          • Altera公司(Nasdaq: ALTR)日前宣布,開始提供Cyclone? V GX FPGA開發(fā)套件,這是業(yè)界第一款28-nm開發(fā)套件,支持面向大批量應用的低成本、低功耗系統(tǒng)級解決方案的快速設計和開發(fā)。Altera是第一家為客戶提供28-nm FPGA開發(fā)套件的公司,現(xiàn)在可以交付最全面的系列套件,支持Altera全系列低成本、中端和高端28-nm FPGA。
          • 關鍵字: Altera  FPGA  

          Microsemi的SmartFusion2讓您的產品達到軍工級

          • 美高森美(Microsemi)公司的產品以低功率、安全性、可靠性為主要特色,為高價值市場提供半導體解決方案。近期又推出了SmartFusion2 SoC FPGA,與其他FPGA廠商注重工藝、門數和封裝不同,Microsemi公司SoC產品部門副總裁兼總經理Esam Elashmawi主要就安全性、可靠性和低功耗幾方面介紹了此新產品。
          • 關鍵字: Microsemi  FPGA  SmartFusion  

          基于FPGA的準單輸入調變序列生成器設計

          • 1.引言隨著集成電路復雜度越來越高,測試開銷在電路和系統(tǒng)總開銷中所占的比例不斷上升,測試方法的研究顯得非常突出。目前在測試源的劃分上可以采用內建自測試或片外測試。內建自測試把測試源和被測電路都集成在芯片
          • 關鍵字: FPGA  輸入  調變  生成器    

          以FPGA為核心的邏輯控制模塊的數據采集系統(tǒng)

          • 內容摘要: 介紹了以FPGA為核心的邏輯控制模塊的數據采集系統(tǒng)的設計可以滿足實時性要求,設計中采用自頂向下的 ...
          • 關鍵字: 邏輯控制  數據采集系統(tǒng)  FPGA  

          基于FPGA的通信接口模塊的設計

          • 內容摘要:針對前端射頻及信號處理部分與中心機需要進行遠程通信的需要,設計了一款由FPGA實現(xiàn)的通信接口模塊。 ...
          • 關鍵字: FPGA  通信接口  光纖通信  

          RF-FPGA項目授權開發(fā)可編程射頻前端技術

          • 電子產品世界,為電子工程師提供全面的電子產品信息和行業(yè)解決方案,是電子工程師的技術中心和交流中心,是電子產品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網絡家園
          • 關鍵字: DARPA  RF-FPGA  可編程  射頻前端  

          Microsemi下一代SmartFusion2 SoC FPGA更安全、更可靠、更低功耗

          •    致力于提供幫助功率管理、安全、可靠與高性能半導體技術產品的領先供應商美高森美公司(Microsemi Corporation,紐約納斯達克交易所代號:MSCC)發(fā)布新的SmartFusion®2系統(tǒng)級芯片(system-on-chip,SoC)現(xiàn)場可編程門陣列(field programmable gate array,F(xiàn)PGA)系列。Microsemi下一代SmartFusion2 SoC FPGA設計用于滿足關鍵性工業(yè)、國防、航空、通訊和醫(yī)療應用對先進安全性、高可靠性和低功耗的基本需求。
          • 關鍵字: Microsemi  FPGA  SmartFusion  

          利用Xilinx FPGA和存儲器接口生成器簡化存儲器接口

          • FPGA 設計人員在滿足關鍵時序余量的同時力爭實現(xiàn)更高性能,在這種情況下,存儲器接口的設計是一個一向構成艱難而耗時的挑戰(zhàn)。Xilinx FPGA 提供 I/O 模塊和邏輯資源,從而使接口設計變得更簡單、更可靠。盡管如此,I/
          • 關鍵字: Xilinx  FPGA  存儲器接口  生成器    

          基于IP模塊的PCI接口設計及FPGA實現(xiàn)

          • PCI局部總線不僅是目前最新的計算機總線,而且是一種兼容性最強、功能最全的計算機總線。它可同時支持多組外圍設備,而且不受制于處理器,為CPU及高速外圍設備提供高性能、高吞吐量、低延遲的數據通路。圖形用戶界面
          • 關鍵字: FPGA  PCI  IP模塊  接口設計    

          淺談FPGA與ASIC的設計優(yōu)勢

          • ASIC 和 FPGA 具有不同的價值主張,選擇其中之一之前,一定要對其進行仔細評估。2種技術的比較信息非常豐富。這里介紹了ASIC和FPGA的優(yōu)勢與劣勢。FPGA 和 ASIC 的設計優(yōu)勢比較FPGA 的設計優(yōu)勢更快的面市時間 - 無需布
          • 關鍵字: FPGA  ASIC    
          共6367條 202/425 |‹ « 200 201 202 203 204 205 206 207 208 209 » ›|

          fpga-ask介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-ask!
          歡迎您創(chuàng)建該詞條,闡述對fpga-ask的理解,并與今后在此搜索fpga-ask的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();