<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-ask

          基于FPGA過(guò)采樣技術(shù)及實(shí)現(xiàn)

          • 過(guò)采樣技術(shù)應(yīng)用于通用模塊時(shí),低通濾波器的參數(shù)隨著下抽取率不同而發(fā)生改變。本文設(shè)計(jì)了適合通用模塊應(yīng)用的可變參數(shù)低通濾波器,并利用FPGA進(jìn)行實(shí)現(xiàn)。模塊測(cè)試結(jié)果表明:可變參數(shù)濾波器設(shè)計(jì)合理,基于FPGA的過(guò)采樣模塊最高分辨率可達(dá)25bit/s。
          • 關(guān)鍵字: 可變參數(shù)濾波器  FPGA  過(guò)采樣  插值濾波器  201006  

          基于FPGA的電網(wǎng)實(shí)時(shí)數(shù)據(jù)采集與控制

          • 為了消除FFT頻譜泄漏和柵欄效應(yīng),提高諧波分析精度,文中給出了用高速A/D采集IPcore來(lái)實(shí)現(xiàn)電網(wǎng)數(shù)據(jù)實(shí)時(shí)采集的設(shè)計(jì)方法,同時(shí)采用數(shù)字鎖相倍頻同步方法進(jìn)行了誤差修正。其中全數(shù)字鎖相倍頻電路和A/D采集控制電路均采用VHDL語(yǔ)言和可編程邏輯器件設(shè)計(jì)實(shí)現(xiàn),并用quartusII軟件進(jìn)行了仿真。
          • 關(guān)鍵字: FPGA  電網(wǎng)實(shí)時(shí)  數(shù)據(jù)采集    

          基于FPGA的高速串行交換模塊實(shí)現(xiàn)方法研究

          • 摘要:采用Xilinx公司的Virtex-5系列FPGA設(shè)計(jì)了一個(gè)用于多種高速串行協(xié)議的數(shù)據(jù)交換模塊,并解決了該模...
          • 關(guān)鍵字: FPGA  RapidIO  PCIe  高速串行交換  

          基于CPCI體系的高性能監(jiān)測(cè)測(cè)向處理平臺(tái)研究

          • 摘要:提出一種新的高速并行采樣技術(shù)架構(gòu)以及基于可編程芯片技術(shù)和支持靈活配置的并行處理嵌入式硬件...
          • 關(guān)鍵字: CPCI  DSP  FPGA  Cyclone  

          FPGA DCM時(shí)鐘管理單元簡(jiǎn)介及原理

          • FPGA DCM時(shí)鐘管理單元簡(jiǎn)介及原理,DCM概述
            DCM內(nèi)部是DLL(Delay Lock Loop(?)結(jié)構(gòu),對(duì)時(shí)鐘偏移量的調(diào)節(jié)是通過(guò)長(zhǎng)的延時(shí)線形成的。DCM的參數(shù)里有一個(gè)PHASESHIFT(相移),可以從0變到255。所以我們可以假設(shè)內(nèi)部結(jié)構(gòu)里從clkin到clk_1x之間應(yīng)該有256根延
          • 關(guān)鍵字: 簡(jiǎn)介  原理  單元  管理  DCM  時(shí)鐘  FPGA  

          FPGA和單片機(jī)的串行通信接口設(shè)計(jì)

          • FPGA和單片機(jī)的串行通信接口設(shè)計(jì),摘要:本文針對(duì)由FPGA構(gòu)成的高速數(shù)據(jù)采集系統(tǒng)數(shù)據(jù)處理能力弱的問(wèn)題,提出FPGA與單片機(jī)實(shí)現(xiàn)數(shù)據(jù)串行通信的解決方案。在通信過(guò)程中完全遵守RS232協(xié)議,具有較強(qiáng)的通用性和推廣價(jià)值。1 前言
            現(xiàn)場(chǎng)可編程邏輯器件(F
          • 關(guān)鍵字: 接口  設(shè)計(jì)  通信  串行  單片機(jī)  FPGA  

          FPGA設(shè)計(jì)的SPI自動(dòng)發(fā)送模塊技術(shù)

          • FPGA設(shè)計(jì)的SPI自動(dòng)發(fā)送模塊技術(shù),一、摘要:
            SPI 接口應(yīng)用十分廣泛,在很多情況下,人們會(huì)用軟件模擬的方法來(lái)產(chǎn)生SPI 時(shí)序或是采用帶SPI 功能模塊的MCU。但隨著可編程邏輯技術(shù)的發(fā)展,人們往往需要自己設(shè)計(jì)簡(jiǎn)單的SPI 發(fā)送模塊。本文介紹一種基于
          • 關(guān)鍵字: 模塊  技術(shù)  發(fā)送  自動(dòng)  設(shè)計(jì)  SPI  FPGA  

          一種基于FPGA的數(shù)字復(fù)接系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          • 引言數(shù)字通信網(wǎng)中,為擴(kuò)大傳輸容量和提高傳輸效率,常運(yùn)用數(shù)字復(fù)接技術(shù),將若干低速碼流合并成高速碼流,...
          • 關(guān)鍵字: FPGA  數(shù)字復(fù)用系統(tǒng)  數(shù)字通信  

          基于FPGA及模擬電路的模擬信號(hào)波形的實(shí)現(xiàn)

          • 1引言波形發(fā)生器已經(jīng)廣泛的應(yīng)用在通信、控制、測(cè)量等各個(gè)領(lǐng)域,如鋸齒波、正弦波、方波等波形常用...
          • 關(guān)鍵字: FPGA  模擬電路  模擬波形  示波器  FLEX10  

          基于Fution模數(shù)混合FPGA芯片的心電儀片上系統(tǒng)開(kāi)發(fā)

          • 利用Actel公司的基于Flash構(gòu)架的模數(shù)混合型Fusion系列FPGA芯片,設(shè)計(jì)了一款低功耗片上的心電監(jiān)護(hù)儀采集顯示系統(tǒng)。結(jié)合Fusion系列的FPGA芯片的各種資源,實(shí)現(xiàn)了心電采集預(yù)處理模塊、數(shù)據(jù)的處理和顯示模塊的系統(tǒng)集成,完整地形成了片上系統(tǒng)。
          • 關(guān)鍵字: 心電  系統(tǒng)  開(kāi)發(fā)  芯片  FPGA  Fution  模數(shù)  混合  基于  數(shù)字信號(hào)  

          一種基于Petri網(wǎng)的并行控制器的VHDL實(shí)現(xiàn)

          • 摘要:Petri網(wǎng)是離散事件系統(tǒng)建模的重要工具,本文使用硬件描述語(yǔ)言VHDL實(shí)現(xiàn)了基于Petri網(wǎng)的并行控制器...
          • 關(guān)鍵字: VHDL  FPGA  Petri  并行控制器  

          FPGA電路設(shè)計(jì): 如何應(yīng)對(duì)電源相關(guān)問(wèn)題的挑戰(zhàn)

          • 引言在設(shè)計(jì)可編程門(mén)陣列(FPGA)電路時(shí),必須極端重視電源問(wèn)題,從而使最終產(chǎn)品能在所有可能的條件下無(wú)...
          • 關(guān)鍵字: FPGA  電路設(shè)計(jì)  電源  

          基于Fusion FPGA芯片的心電儀片上系統(tǒng)開(kāi)發(fā)

          • 摘要:利用Actel公司的基于Flash構(gòu)架的模數(shù)混合型Fusion系列FPGA芯片,設(shè)計(jì)了一款低功耗片上的心電監(jiān)護(hù)...
          • 關(guān)鍵字: FPGA  Fusion  Actel  低功耗  

          基于Spartan-6 FPGA的SP605開(kāi)發(fā)板解決文案

          • 基于Spartan-6 FPGA的SP605開(kāi)發(fā)板解決文案,Spartan-6 FPGA是目標(biāo)設(shè)計(jì)平臺(tái),提供集成的軟件和硬件,有利于設(shè)計(jì)集中力量進(jìn)行新產(chǎn)品創(chuàng)新. Spartan-6 FPGA包括LX 和LXT等13個(gè)系列, 邏輯單元從3,840 到147,443, 而功耗比以前的Spartan降低一半.Spartan-6采用45nm低功
          • 關(guān)鍵字: 解決  文案  開(kāi)發(fā)  SP605  Spartan-6  FPGA  基于  

          基于28nn Stratix V FPGA的100GbE線路卡設(shè)計(jì)技術(shù)

          • 基于28nn Stratix V FPGA的100GbE線路卡設(shè)計(jì)技術(shù),28nm Stratix V FPGA包括增強(qiáng)的核架構(gòu),高達(dá)28Gbps和低功耗低BER的收發(fā)器,以及硬IP區(qū)塊陣列等. Stratix V FPGA包括四個(gè)GT, GX, GS和E系列,內(nèi)核工作電壓0.85V, 533-MHz/1066-Mbps 外接存儲(chǔ)器接口, Stratix V GX/GS/E 器
          • 關(guān)鍵字: 線路  設(shè)計(jì)  技術(shù)  100GbE  FPGA  28nn  Stratix  基于  
          共6367條 315/425 |‹ « 313 314 315 316 317 318 319 320 321 322 » ›|

          fpga-ask介紹

          您好,目前還沒(méi)有人創(chuàng)建詞條fpga-ask!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-ask的理解,并與今后在此搜索fpga-ask的朋友們分享。    創(chuàng)建詞條

          熱門(mén)主題

          樹(shù)莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢(xún)有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();