<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
          EEPW首頁 >> 主題列表 >> fpga-ask

          用FPGA實現(xiàn)TETRA數字集群通信系統(tǒng)語音信道編碼中的交織器

          • 交織技術能很好地糾正信息傳輸過程中出現(xiàn)的突發(fā)性錯誤。在數字信息傳輸系統(tǒng)中得到了廣泛應用。本文將在討論信息產業(yè)部重點支持發(fā)展的TETRA數字集群通信系統(tǒng)語音信道編碼結構和流程的基礎上,重點研究交織技術在其語音信道編碼中的應用及用FPGA實現(xiàn)該交織器的方法。
          • 關鍵字: TETRA  數字集群  FPGA  通信系統(tǒng)  語音信道編碼  交織器  

          FPGA重復配置和測試的實現(xiàn)

          • 從制造的角度來講,F(xiàn)PGA測試是指對FPGA器件內部的邏輯塊、可編程互聯(lián)線、輸入輸出塊等資源的檢測。完整的FPGA測試包括兩步,一是配置FPGA、然后是測試FPGA,配置FPGA是指將FPGA通過將配置數據下載編程使其內部的待測資源連接成一定的結構,在盡可能少的配置次數下保證FPGA內部資源的測試覆蓋率,配置數據稱為TC,配置FPGA的這部分時間在整個測試流程占很大比例;測試FPGA則是指對待測FPGA施加設計好的測試激勵并回收激勵,測試激勵稱為TS。
          • 關鍵字: 重復配置  測試  FPGA  

          H.264中二進制化編碼器的FPGA實現(xiàn)

          • 在對H.264標準中二進制化部分研究和分析的基礎上,提出其FPGA電路結構,采用并行結構及流水線方式設計電路。該結構經Spartan3 FPGA實現(xiàn),其吞吐量為每周期1 bit,最大時鐘頻率為100 MHz,能夠滿足H.264中第3級及其以上檔次實時視頻編碼的要求。
          • 關鍵字: H.264  二進制化  編碼器  FPGA  

          目標設計平臺使基于FPGA的系統(tǒng)開發(fā)易如反掌

          • ISE設計套件11的全功能版本將作為Virtex-6 FPGA套件的一部分推出,器件支持僅限于Vitex-6 LX240T-FF1156。Spartan-6 FPGA 套件包括ISE設計套件11 WebPACK軟件。ISE設計套件作為獨立產品另外提供,可提供全面的器件支持,邏輯版本的起價為2995美元??蛻艨蓮馁愳`思網站免費下載 ISE設計套件11的全功能30天評估版本。
          • 關鍵字: 目標設計平臺  Virtex-6  FPGA  系統(tǒng)開發(fā)  

          快閃FPGA實現(xiàn)創(chuàng)新FPGA設計

          • 在FPGA領域,隨著全球市場“消費化”趨勢的日益明顯,人們對于低功率、小占位面積FPGA的需求不斷增加。此外,環(huán)保節(jié)能理念日漸深入人心,也使得更多的企業(yè)開始使用低功率組件,從而降低系統(tǒng)的能耗。產品上市時間的縮短、效率和可靠性的提高、開發(fā)成本的降低以及對設計靈活性的高要求,使得FPGA有了愈來愈廣闊的發(fā)展空間,也變得愈加重要。
          • 關鍵字: 快閃  Actel  FPGA  

          FPGA設計工具視點

          • 作為一個負責FPGA企業(yè)市場營銷團隊工作的人,我不得不說,由于在工藝技術方面的顯著成就以及硅芯片設計領域的獨創(chuàng)性,F(xiàn)PGA正不斷實現(xiàn)其支持片上系統(tǒng)設計的承諾。隨著每一代新產品的推出,F(xiàn)PGA在系統(tǒng)中具有越來來越多的功能,可作為協(xié)處理器、DSP 引擎以及通信平臺等,在某些應用領域甚至還可用作完整的片上系統(tǒng)。
          • 關鍵字: 設計工具  DSP  FPGA  ASSP  

          依托FPGA開發(fā)高性能網絡安全處理平臺

          • 通過FPGA來構建一個低成本、高性能、開放架構的數據平面引擎可以為網絡安全設備提供性能提高的動力。隨著互聯(lián)網技術的飛速發(fā)展,性能成為制約網絡處理的一大瓶頸問題。FPGA作為一種高速可編程器件,為網絡安全流量處理提供了一條低成本、高性能的解決之道。
          • 關鍵字: 高性能  網絡安全  FPGA  處理平臺  

          目標設計平臺使基于FPGA的系統(tǒng)開發(fā)易如反

          • 賽靈思公司在正式發(fā)布新一代旗艦產品高性能Virtex-6和低成本Spartan-6 FPGA時,首次提出了“目標設計平臺”的新概念。賽靈思目標設計平臺包含五個關鍵部分:Virtex-6和Spartan-6 FPGA器件、支持和集成業(yè)界成熟設計方法的設計環(huán)境、采用業(yè)界標準FPGA多層連接器的可擴展板和套件、提供接口的IP內核和強大的參考設計。
          • 關鍵字: 目標設計平臺  系統(tǒng)開發(fā)  FPGA  Virtex-6  Spartan-6  

          基于FPGA的線陣CCD驅動時序及模擬信號處理的設計

          • 基于FPGA設計的驅動電路是可再編程的,與傳統(tǒng)的方法相比,其優(yōu)點是集成度高、速度快、可靠性好。若要改變驅動電路的時序,增減某些功能,僅需要對器件重新編程即可,在不改變任何硬件的情況下,即可實現(xiàn)驅動電路的更新?lián)Q代。通過對TCDl50lD輸出圖像信號特征的簡要分析,分別闡述了內、外2種除噪方法,并給出了相應的時序,再利用Quartus II 7.2軟件平臺對TCDl501D CCD驅動時序及AD9826的采樣時序進行了設計及結果仿真,使CCD的驅動變得簡單且易于處理,這是傳統(tǒng)邏輯電路無法比擬的,對其他CCD時
          • 關鍵字: CCD驅動時序  模擬信號處理  FPGA  

          基于高速FPGA的PCB設計技術

          • 本文只談及了一些基本的概念。這里所涉及的任何一個主題都可以用整本書的篇幅來討論。關鍵是要在為PCB版圖設計投入大量時間和精力之前搞清楚目標是什么。一旦完成了版圖設計,重新設計就會耗費大量的時間和金錢,即便是對走線的寬度作略微的調整。不能依賴PCB版圖工程師做出能夠滿足實際需求的設計來。原理圖設計師要一直提供指導,作出精明的選擇,并為解決方案的成功負起責任。
          • 關鍵字: PCB  電容  SERDES  FPGA  

          用FPGA實現(xiàn)FIR濾波器

          • 你接到要求用FPGA實現(xiàn)FIR濾波器的任務時,也許會想起在學校里所學的FIR基礎知識,但是下一步該做什么呢?哪些參數是重要的?做這個設計的最佳方法是什么?還有這個設計應該怎樣在FPGA中實現(xiàn)?現(xiàn)在有大量的低成本IP核和工具來幫助你進行設計,因為FIR是用FPGA實現(xiàn)的最普通的功能。
          • 關鍵字: FIR濾波器  DSP  LUT  FPGA  

          克服FPGA I/O引腳分配挑戰(zhàn)

          • 賽靈思公司開發(fā)了一種規(guī)則驅動的方法。首先根據PCB和FPGA設計要求定義一套初始引腳布局,這樣利用與最終版本非常接近的引腳布局設計小組就可以盡可能早地開始各自的設計流程。 如果在設計流程的后期由于PCB布線或內部FPGA性能問題而需要進行調整,在采用這一方法晨這些問題通常也已經局部化了,只需要在PCB或FPGA設計中進行很小的設計修改。
          • 關鍵字: PCB  IO引腳分配  FPGA  

          用最新工具解決FPGA設計中的時序問題

          •   時序問題的惱人之處在于沒有哪種方法能夠解決所有類型的問題。由于客戶對于和現(xiàn)場應用工程師共享源代碼通常非常敏感,因此我們通常都是通過將工具的潛力發(fā)揮到極致來幫助客戶解決其時序問題。當然好消息就是通過這種方法以及優(yōu)化RTL代碼,可以解決大多數時序問題。
          • 關鍵字: 時序問題  FPGA  

          Verilog串口通訊設計

          • FPGA(Field Pmgrammable Gate Array)現(xiàn)場可編程門陣列在數字電路的設計中已經被廣泛使用。這種設計方式可以將以前需要多塊集成芯片的電路設計到一塊大模塊可編程邏輯器件中,大大減少了電路板的尺寸,增強了系統(tǒng)的可靠性和設計的靈活性。本文詳細介紹了已在實際項目中應用的基于FPGA的串口通訊設計。本設計分為硬件電路設計和軟件設計兩部分,最后用仿真驗證了程序設計的正確性。
          • 關鍵字: Verilog  串口通訊  FPGA  

          選擇正確的FPGA設計工具

          • 在綜合和仿真方面,EDA供應商是公認的專家;而在物理設計和硬件驗證方面,只有FPGA廠商能設計和提供為芯片專門優(yōu)化的后端工具。我們的經驗是借助于領先EDA供應商的專業(yè)技術使FPGA設計工具套件為用戶提供更高的價值。
          • 關鍵字: FPGA  EDA  
          共6367條 60/425 |‹ « 58 59 60 61 62 63 64 65 66 67 » ›|

          fpga-ask介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-ask!
          歡迎您創(chuàng)建該詞條,闡述對fpga-ask的理解,并與今后在此搜索fpga-ask的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關于我們 - 廣告服務 - 企業(yè)會員服務 - 網站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產品世界》雜志社 版權所有 北京東曉國際技術信息咨詢有限公司
          備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();