<meter id="pryje"><nav id="pryje"><delect id="pryje"></delect></nav></meter>
          <label id="pryje"></label>

          首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
          EEPW首頁(yè) >> 主題列表 >> fpga-ask

          一種改進(jìn)型surendra背景更新算法的FPGA實(shí)現(xiàn)

          • 針對(duì)現(xiàn)有的動(dòng)態(tài)背景提取運(yùn)動(dòng)目標(biāo)物體算法復(fù)雜且難以在硬件上實(shí)現(xiàn)的問題,研究了改進(jìn)型surendra背景更新算法原理的特點(diǎn),提出了改進(jìn)型surendra背景更新算法的硬件結(jié)構(gòu),并對(duì)硬件結(jié)構(gòu)進(jìn)行綜合、仿真后,在FPGA芯片上實(shí)現(xiàn)。
          • 關(guān)鍵字: 運(yùn)動(dòng)目標(biāo)提取  surendra背景更新算法  FPGA  

          基于FPGA+DSP的智能車全景視覺系統(tǒng)

          • 為實(shí)現(xiàn)智能車全景視覺系統(tǒng)的應(yīng)用研究平臺(tái),設(shè)計(jì)了一種基于FPGA+雙DSP的實(shí)時(shí)6通道數(shù)字圖像采集與處理系統(tǒng)。該系統(tǒng)由兩片F(xiàn)PGA與兩個(gè)DSP組成。第一個(gè)FPGA進(jìn)行多通道視覺圖像采集的同步控制、邏輯處理,第二片F(xiàn)PGA輔助DSP進(jìn)行海量圖像數(shù)據(jù)的高速并行處理。
          • 關(guān)鍵字: 全景視覺系統(tǒng)  FPGA+DSP  數(shù)字圖像采集與處理系統(tǒng)  

          基于FPGA和多DSP的高速視覺測(cè)量系統(tǒng)的研究

          • 針對(duì)高速視覺測(cè)量系統(tǒng)數(shù)據(jù)處理速度快、數(shù)據(jù)處理量大的特點(diǎn),將FPGA技術(shù)與DSP技術(shù)相結(jié)合,研究了一種基于FPGA和多DSP的多通道并行處理的高速視覺測(cè)量系統(tǒng)。詳細(xì)介紹了FPGA技術(shù)與多DSP技術(shù)在數(shù)字圖像處理過程中的不同應(yīng)用、高速視覺測(cè)量系統(tǒng)的總體結(jié)構(gòu)以及各部分的工作原理。
          • 關(guān)鍵字: 高速視覺測(cè)量系統(tǒng)  DSP  FPGA  

          基于FPGA的915 MHz射頻讀卡器設(shè)計(jì)

          • 參照ISO/IEC 18000-6 Type B 協(xié)議設(shè)計(jì)了一款工作頻率為915 MHz的射頻讀卡器,采用FPGA完成協(xié)議中規(guī)定的數(shù)字信號(hào)處理,C8051F020單片機(jī)作為主控器。利用Verilog HDL硬件描述語言,搭建FPGA內(nèi)部各個(gè)小模塊及系統(tǒng)的驗(yàn)證平臺(tái),選用Altera公司Cyclone系列的EP1C6Q240C8芯片為目標(biāo)器件,使用Quartus II進(jìn)行綜合,并通過時(shí)序和功能驗(yàn)證。
          • 關(guān)鍵字: 射頻讀卡器  數(shù)字信號(hào)處理  FPGA  

          數(shù)字電視CAS中DES加密模塊的FPGA實(shí)現(xiàn)

          • 一種基于FPGA的數(shù)據(jù)加密標(biāo)準(zhǔn)算法的實(shí)現(xiàn)。就資源優(yōu)先和性能優(yōu)先分別使用循環(huán)法和流水線法對(duì)DES加密算法進(jìn)行了設(shè)計(jì),并對(duì)其進(jìn)行了比較。通過采用子密鑰簡(jiǎn)單產(chǎn)生和ROM優(yōu)化S盒的方法,對(duì)流水線法進(jìn)行改進(jìn),達(dá)到了資源占用率低、加密速度快的效果。
          • 關(guān)鍵字: 數(shù)據(jù)加密標(biāo)準(zhǔn)算法  DES  FPGA  流水線  

          基于FPGA的測(cè)量數(shù)據(jù)存儲(chǔ)交換技術(shù)

          • 以AT45DB041B為例,將FPGA和大容量串行flash存儲(chǔ)芯片的優(yōu)點(diǎn)有效地結(jié)合起來,實(shí)現(xiàn)了FPGA對(duì)串行存儲(chǔ)芯片的高效讀寫操作,完成了對(duì)大量測(cè)量數(shù)據(jù)的存儲(chǔ)處理和與上位機(jī)的交換,并在某電力局項(xiàng)目工頻場(chǎng)強(qiáng)環(huán)境監(jiān)測(cè)儀中成功應(yīng)用。
          • 關(guān)鍵字: Flash  串行存儲(chǔ)  FPGA  

          基于FPGA的精密離心機(jī)光柵信號(hào)細(xì)分系統(tǒng)

          • 介紹一種基于FPGA的精密離心機(jī)光柵信號(hào)細(xì)分系統(tǒng)。說明了光柵信號(hào)的產(chǎn)生過程和基本處理方法,提出了一種綜合EDA技術(shù)與光柵莫爾條紋電子學(xué)細(xì)分技術(shù)的設(shè)計(jì)方案。通過VerilogHDL實(shí)現(xiàn)該系統(tǒng)的主要設(shè)計(jì),并利用ISE軟件進(jìn)行了仿真試驗(yàn)。試驗(yàn)表明,該系統(tǒng)具有捕捉速度快、跟蹤精度高、相位誤差小、成本低廉等特點(diǎn)。
          • 關(guān)鍵字: ISE  信號(hào)細(xì)分系統(tǒng)  光柵信號(hào)  FPGA  

          利用XCS40實(shí)現(xiàn)小型聲納的片上系統(tǒng)集成

          • 介紹大規(guī)模、高速度的FPGA在小型漁用聲納系統(tǒng)設(shè)計(jì)中的應(yīng)用。在該系統(tǒng)設(shè)計(jì)中,采用了Xilinx公司的FPGA芯片XCS40作為主要器件,基本上將整個(gè)系統(tǒng)的功能集成在了一片芯片上。實(shí)踐證明,即降低了成本,又縮短了設(shè)計(jì)和調(diào)試的時(shí)間。
          • 關(guān)鍵字: 漁用聲納系統(tǒng)  片上系統(tǒng)  FPGA  

          基于FPGA的音樂流水燈控制系統(tǒng)

          • 通過 FPGA實(shí)現(xiàn)音樂流水燈的控制, 實(shí)質(zhì)上就是將不同音階與特定頻率的方波信號(hào)對(duì)應(yīng)起來, 以方波信號(hào)驅(qū)動(dòng)蜂鳴器發(fā)出音樂, 再根據(jù)不同音階來控制流水燈的閃爍。與借助微處理器實(shí)現(xiàn)樂曲演奏相比, 以純硬件方式完成樂曲演奏電路更直觀。EDA工具和硬件描述語言發(fā)揮了強(qiáng)大功能,提供了設(shè)計(jì)可能性。
          • 關(guān)鍵字: ALU  音樂流水燈  FPGA  

          基于軟件無線電的數(shù)字偵聽接收機(jī)研究

          • 為實(shí)現(xiàn)頻譜監(jiān)測(cè)、通信偵察等任務(wù),提出了一種基于軟件無線電的數(shù)字偵察接收機(jī)的軟、硬件體系結(jié)構(gòu)。該接收機(jī)基于高速數(shù)字信號(hào)處理器、大規(guī)?,F(xiàn)場(chǎng)可編程門陣列、高速AD芯片、高精度大動(dòng)態(tài)范圍AGC電路,實(shí)現(xiàn)了信號(hào)的寬頻段、寬帶接收;采用盲信號(hào)處理技術(shù),實(shí)現(xiàn)了對(duì)未知信號(hào)的參數(shù)辨識(shí)、分類、盲解調(diào)。
          • 關(guān)鍵字: 頻譜監(jiān)測(cè)  軟件無線電  FPGA  

          基于FPGA的多軟核圖像處理系統(tǒng)設(shè)計(jì)

          • 介紹以圖像處理為應(yīng)用背景、基于FPGA芯片建立的多軟核系統(tǒng)設(shè)計(jì)。系統(tǒng)中包含兩個(gè)Nios II軟核處理器和兩個(gè)用于進(jìn)行圖像顏色空間轉(zhuǎn)換的CSC MegaCore IP核。兩個(gè)Nios II軟核處理器共享程序存儲(chǔ)器、數(shù)據(jù)存儲(chǔ)器及啟動(dòng)存儲(chǔ)器。在硬件設(shè)計(jì)方面,CSC MegaCore IP作為外圍組件通過一個(gè)自定義的接口控制器連接到以Nios II軟核處理器為核心的SoPC系統(tǒng)中。在軟件設(shè)計(jì)方面,運(yùn)行在每個(gè)Nios II軟核處理器上的程序通過硬件Mutex核協(xié)調(diào)對(duì)共享數(shù)據(jù)存儲(chǔ)器的訪問。
          • 關(guān)鍵字: 圖像處理  多軟核系統(tǒng)  FPGA  

          反射式全景視頻實(shí)時(shí)平面顯示技術(shù)的FPGA實(shí)現(xiàn)

          • 介紹了反射式全景圖像展開原理,分析了圖像產(chǎn)生鋸齒失真和階梯化現(xiàn)象的原因,提出了解決問題的算法,并設(shè)計(jì)了FPGA實(shí)現(xiàn)的系統(tǒng)硬件結(jié)構(gòu)。
          • 關(guān)鍵字: 全景圖像  鋸齒失真  FPGA  

          一種基于偏振原理和FPGA的調(diào)光系統(tǒng)設(shè)計(jì)

          • 設(shè)計(jì)了一種光強(qiáng)自動(dòng)調(diào)節(jié)系統(tǒng)。通過光電傳感電路實(shí)現(xiàn)光電信號(hào)的轉(zhuǎn)換,使用FPGA對(duì)數(shù)據(jù)進(jìn)行實(shí)時(shí)處理,并以實(shí)驗(yàn)環(huán)境光照強(qiáng)度測(cè)試結(jié)果為參照對(duì)所測(cè)光強(qiáng)進(jìn)行線性變換修正,進(jìn)而查表獲得舵機(jī)偏轉(zhuǎn)角度的控制量,通過改變偏振片偏振化方向夾角來調(diào)節(jié)入射光強(qiáng)。自動(dòng)調(diào)光系統(tǒng)測(cè)量精度較高,實(shí)時(shí)調(diào)節(jié)性較好,魯棒性較強(qiáng)。
          • 關(guān)鍵字: 光強(qiáng)調(diào)節(jié)  光電傳感  FPGA  

          同步數(shù)字復(fù)接的設(shè)計(jì)及其FPGA技術(shù)實(shí)現(xiàn)

          • 在簡(jiǎn)要介紹同步數(shù)字復(fù)接基本原理的基礎(chǔ)上,采用VHDL語言對(duì)同步數(shù)字復(fù)接各組成模塊進(jìn)行了設(shè)計(jì),并在ISE集成環(huán)境下進(jìn)行了設(shè)計(jì)描述、綜合、布局布線及時(shí)序仿真,取得了正確的設(shè)計(jì)結(jié)果,同時(shí)利用中小容量的FPGA實(shí)現(xiàn)了同步數(shù)字復(fù)接功能。
          • 關(guān)鍵字: 同步數(shù)字復(fù)接  VHDL  FPGA  

          基于FPGA的數(shù)字復(fù)接系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

          • 提出了基于FPGA技術(shù)實(shí)現(xiàn)數(shù)字復(fù)接系統(tǒng)的設(shè)計(jì)方案,并介紹了基群與二次群之間的復(fù)接與分接的系統(tǒng)總體設(shè)計(jì)。硬件電路調(diào)試證明,該方案是行之有效的。
          • 關(guān)鍵字: 數(shù)字復(fù)接系統(tǒng)  基群  FPGA  
          共6367條 78/425 |‹ « 76 77 78 79 80 81 82 83 84 85 » ›|

          fpga-ask介紹

          您好,目前還沒有人創(chuàng)建詞條fpga-ask!
          歡迎您創(chuàng)建該詞條,闡述對(duì)fpga-ask的理解,并與今后在此搜索fpga-ask的朋友們分享。    創(chuàng)建詞條

          熱門主題

          樹莓派    linux   
          關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
          Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
          《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
          備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473
          看屁屁www成人影院,亚洲人妻成人图片,亚洲精品成人午夜在线,日韩在线 欧美成人 (function(){ var bp = document.createElement('script'); var curProtocol = window.location.protocol.split(':')[0]; if (curProtocol === 'https') { bp.src = 'https://zz.bdstatic.com/linksubmit/push.js'; } else { bp.src = 'http://push.zhanzhang.baidu.com/push.js'; } var s = document.getElementsByTagName("script")[0]; s.parentNode.insertBefore(bp, s); })();